登录

最新会员 最新下载

成为了本站VIP会员

今天14:46

成为了本站VIP会员

04月18日 20:09

成为了本站VIP会员

04月18日 18:37

成为了本站VIP会员

04月18日 18:25

成为了本站VIP会员

04月18日 17:16

成为了本站VIP会员

04月18日 09:16
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. ALU vhdl

此模块模拟alu(算术逻辑单元)和测试台,以验证其工作是否正确。

1
下载
14
浏览
2023-08-23发布

2. 和picoblaze完全兼容的mcu ip core

和picoblaze完全兼容的mcu ip core-And PicoBlaze fully compatible mcu ip core

1
下载
14
浏览
2023-08-22发布

3. NiosII _练习_ ver3 NiosII for旋风,这3。

NiosII_Exercises_Ver3,this niosII 3.o for cyclone

1
下载
17
浏览
2023-08-22发布

4. 用FPGA 是先键盘的程序,is good for you

用FPGA 是先键盘的程序,is good for you -FPGA is the first keyboard to use the procedure, is good for you

1
下载
14
浏览
2023-08-22发布

5. 内容1:哈尔滨工程大学信息与通信工程学院的课件

内容1:哈尔滨工程大学信息与通信工程学院的课件-适合初学VHDL语言的人。内容2:VHDL语言详解的讲义。-1: Harbin Engineering University College of Information and Communication Engineering of software- suitable for novice VHDL language. Content 2: VHDL language of the notes explain.

2
下载
18
浏览
2023-08-22发布

6. 高速双端口RAM的vhdl实现。包含仿真波形

高速双端口RAM的vhdl实现。包含仿真波形-High-speed dual-port RAM realize the VHDL. Contains the simulation waveform

1
下载
12
浏览
2023-08-22发布

7. these files are written in verilog but i am uploading in text format

these files are written in verilog but i am uploading in text format

1
下载
15
浏览
2023-08-21发布

8. 学习应用FPGA pid实现

pid程序,新学者,使用FPGA学习,希望大家一起学习

1
下载
17
浏览
2023-08-21发布

9. VHDL language used to achieve 8

用VHDL语言实现8-3线编码器,16-4线编码器-VHDL language used to achieve 8-3 line encoder ,16-4-wire encoder

1
下载
19
浏览
2023-08-20发布

10. 数字钟的实现 FPGA上运行 VHDL编写

数字钟的实现 FPGA上运行 VHDL编写-Digital clock running on the FPGA to achieve the preparation of VHDL

1
下载
14
浏览
2023-08-20发布

11. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的DDR控制器程序!...

一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的DDR控制器程序!-A VHDL design with the use of powerful 32-bit CPU, this document contains a complete set of DDR controller program!

1
下载
15
浏览
2023-08-19发布

12. 以VHDL为第一通用代码的N位加法器

32位加法器作为VHDL编写的第一个代码;

1
下载
18
浏览
2023-08-19发布

13. 利用分频可以产生一系列脉冲,根据输入脉冲的不同决定你得到的一系列脉冲频率...

利用分频可以产生一系列脉冲,根据输入脉冲的不同决定你得到的一系列脉冲频率-The use of sub-band can produce a series of pulses, according to input pulse of different decisions you have a series of pulse frequency

1
下载
19
浏览
2023-08-19发布

14. 可综合的vhdl设计特点.pdf

可综合的vhdl设计特点.pdf-synthesizable VHDL design features. Pdf

1
下载
17
浏览
2023-08-19发布

15. 一个以太网卡的硬件描述,可以参考进行设计网卡芯片。

一个以太网卡的硬件描述,可以参考进行设计网卡芯片。-an Ethernet card hardware description, reference card chip design.

1
下载
14
浏览
2023-08-18发布

16. 扩跳频通信在QUARTUS7.0开发环境下的VHDL源程序及总体框图实现

扩跳频通信在QUARTUS7.0开发环境下的VHDL源程序及总体框图实现-Frequency-hopping communication QUARTUS7.0 expanded development environment in the VHDL source code and the achievement of the overall block diagram

1
下载
16
浏览
2023-08-17发布

17. 四选一编程语言,可以自动生成四选一器件。

四选一编程语言,可以自动生成四选一器件。-First elected four programming languages, you can automatically generate a four selected devices.

1
下载
18
浏览
2023-08-17发布

18. PCI的VHDL源码希望对大家有用!

PCI的VHDL源码希望对大家有用!-PCI VHDL source hope useful for all!

1
下载
15
浏览
2023-08-16发布

19. Based on the VHDL language for selecting the three sequences, you can have a cyc...

基于VHDL语言的3级序列的产生,可以循环产生周期为7的m序列 -Based on the VHDL language for selecting the three sequences, you can have a cycle for cycle 7 m sequence

1
下载
17
浏览
2023-08-16发布

20. 一个FPGA的AVR_Core 仅供测试~

一个FPGA的AVR_Core 仅供测试~-AVR_Core an FPGA-only test ~

1
下载
22
浏览
2023-08-16发布