登录

最新会员 最新下载

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09

成为了本站VIP会员

04月18日 18:37

成为了本站VIP会员

04月18日 18:25

成为了本站VIP会员

04月18日 17:16

成为了本站VIP会员

04月18日 09:16
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. 以上是VHDL硬件描述语言写的一个简单锝路流水灯程序,希望对刚接触VHDL的朋友有一定帮助...

以上是VHDL硬件描述语言写的一个简单锝路流水灯程序,希望对刚接触VHDL的朋友有一定帮助-These are the VHDL hardware description language written in a simple flow path lights technetium procedures,刚接触VHDL want to have some friends to help

1
下载
16
浏览
2023-08-06发布

2. Quartus flv configuration and commissioning of the

QUARTUS 的配置及调试 flv的 -Quartus flv configuration and commissioning of the

1
下载
16
浏览
2023-08-05发布

4. SPI串口的内核实现(vhdl),可以用qII等软件直接加到FPGA或者CPLD里面....

SPI串口的内核实现(vhdl),可以用qII等软件直接加到FPGA或者CPLD里面.-the SPI Serial Kernel (vhdl) can be used directly qII software foisted CPLD or FPGA inside.

1
下载
20
浏览
2023-08-02发布

5. Synopsys 帮助文件 version 200205

Synopsys 帮助文件 version 200205-Synopsys sold version 200205

1
下载
21
浏览
2023-08-02发布

6. 基数 4 蝴蝶算法的实现

给定的序列 x(n) 被摧毁成 4 序列的长度 N/4 每个。而不是划分 用密度泛函理论计算成两半在 RAD2,种四分。N 点 输入的序列被分成四个的子序列,x(4n),x(4n+1),,x(4n+2),和 x (4n + 3),其中 n = 0,1,...N/4-1.Radix-4 使用日志4N 阶段,每个阶段有 N/4 蝴蝶。N/4 蝴蝶涉及每年 阶段和阶段数目是日志4N N 点序列。因此, 复杂的乘法次数是 3N/4 日志4N 和数目 复杂的加法是 12N/4 日志4n。在基数 2 FFT 的比较 复杂的乘法次数是减少 25%

1
下载
18
浏览
2023-08-02发布

7. The time of the year undergraduate graduate design, signal generator and frequen...

当年本科时的毕业设计,信号发生器和频率计-The time of the year undergraduate graduate design, signal generator and frequency counter

1
下载
19
浏览
2023-08-01发布

8. 利用两个半加器来组成的全加器,是简单的vhdl语言入门

利用两个半加器来组成的全加器,是简单的vhdl语言入门-The use of two and a half adder to form the full adder is a simple entry-vhdl language

1
下载
17
浏览
2023-08-01发布

9. 基于basys2的四位有符号二进数除法

基于diligent公司的basys2开发板的四位有符号二进制数的除法

1
下载
16
浏览
2023-08-01发布

10. 阶梯波程序

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ladder IS PORT(clk,reset:IN STD_LOGIC;

1
下载
16
浏览
2023-07-31发布

11. 先进先出单独的时钟

它是一个正常的 fifo 编程代码。读和写时钟哪里不同对于写作过程阅读过程单独指针使用和用于控制这两个过程 3 附加指针1 指针为写指针控制其他读取的指针控制usedptr1 (第 1 次附加指针) 将递增的值写入进程和 usedptr2(第 2 次的附加指针)读取过程的减量。其中之一都被分配到 used_reg(第 3 次附加指针)。T他将控制的空和满的标志。由于这些标志写和读的启用控制信号

1
下载
19
浏览
2023-07-30发布

12. RD1006

RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb-

1
下载
22
浏览
2023-07-29发布

13. this a spartan 3E base project file. this is the project of game in which vga...

this a spartan 3E base project file. this is the project of game in which vga is interfaced to FPGA. this file is main file in which vga timing is maintained.-this is a spartan 3E base project file. this is the project of game in which vga is interfaced to FPGA. this file is main file in which vga timing is maintained.

1
下载
17
浏览
2023-07-29发布

14. 一个双向总线的vhdl实现

一个双向总线的vhdl实现-a two-way bus VHDL achieve

1
下载
20
浏览
2023-07-29发布

15. Key_gen the Qutuas II v7.1 for sp1 invalid This is the v7.1 sp1 months key_gen

Qutuas II v7.1的key_gen 对sp1无效 这就是个v7.1 sp1的key_gen -Key_gen the Qutuas II v7.1 for sp1 invalid This is the v7.1 sp1 months key_gen

1
下载
24
浏览
2023-07-28发布

16. FPGA

FPGA的学习指南,绝对经典,内容比较超值,我已经细心读过了,讲解清晰,快速入门。-FPGA-study guide, an absolute classic, the content of more value, I have carefully read, and to explain clearly, Getting Started.

1
下载
21
浏览
2023-07-28发布

17. 2位并行加法器初学者必看初步了解FPGA

2位并行加法器初学者必看初步了解FPGA-two count

1
下载
19
浏览
2023-07-28发布

18. 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL description of a 4...

8位大小比较器的VHDL源代码,Magnitude Comparator VHDL description of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL description of a 4-bit magnitude comparator inputs with expansion

1
下载
17
浏览
2023-07-28发布

19. 一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果...

一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果-a thunderbolt lights Verilog source files, using PWM principle realized, LED lights have a gradual effect of the weak

1
下载
19
浏览
2023-07-28发布

20. 采用VHDL编写的步进电机控制程序

采用VHDL编写的步进电机控制程序-stepping motor controlling program written by VHDL

1
下载
17
浏览
2023-07-28发布