登录

最新会员 最新下载

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09

成为了本站VIP会员

04月18日 18:37

成为了本站VIP会员

04月18日 18:25

成为了本站VIP会员

04月18日 17:16

成为了本站VIP会员

04月18日 09:16
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. VHDL洗衣机控制器

VHDL 洗衣机程序,可实现定时、报警、洗衣,脱水等等功能。底层为VHDL文件,顶层为电路图连接

2
下载
25
浏览
2023-07-12发布

2. 此程序基于ADC0809,它是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。...

此程序基于ADC0809,它是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。-Connection between ADC 0809, it was the eight CMOS A/D converters. Tablets containing eight analog switches, control eight of analog converters enter a Chinese.

1
下载
21
浏览
2023-07-11发布

3. LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程...

LCD 因其轻薄短小,低功耗,无辐射,平面 直角显示,以及影像稳定等特点,当今应用非常 广泛。CPLD(复杂可编程逻辑器件) 是一种具有 丰富可编程功能引脚的可编程逻辑器件,不仅可 实现常规的逻辑器件功能,还可以实现复杂而独 特的时序逻辑功能。并且具有ISP (在线可编 程) [1 ] 功能,便于进行系统设计和现场对系统进 行功能修改、调试、升级。通常CPLD 芯片都有 着上万次的重写次数,即用CPLD[ 2 ] 进行硬件设 计,就像软件设计一样灵活、方便。而现今LCD 的控制大都采用专用控制芯片,且一般都采用进 口芯片,成本较高。并且为了保证在特定环境下 控制芯片能正常工作,往往要加上必需的与门、 非门、以及HC244 ,HC245 、HC373 等元件,这样 不仅提高的成本,也因分立元件的引入而降低了 电路的可靠性。本设计的目的是采用Xilinx 公 司生产的一片XC95288 和一片XC95144 来实现 LCD 控制器以及其外围控制,时序逻辑的全部 功能,使得LCD 控制系统故障率和开发成本大 大降低,并使LCD 控制系统有强大的功能可扩 展性。-err

1
下载
19
浏览
2023-07-11发布

4. Triscend supports the use of the Model Technology ModelSim logic simulator for V...

Triscend supports the use of the Model Technology ModelSim logic simulator for VHDL simulation of designs implemented in the Configurable System Logic (CSL) portion of a Triscend device.

1
下载
19
浏览
2023-07-10发布

5. 数字逻辑课程设计,用vhdl实现红外线传输系统的课程设计,下载验证通过...

数字逻辑课程设计,用vhdl实现红外线传输系统的课程设计,下载验证通过-Digital logic course design, using vhdl infrared transmission system to achieve curriculum design, download verified by

1
下载
27
浏览
2023-07-10发布

6. 电子自动售货机

应用背景电子自动售货机使用FPGA。 ;使用简单的Verilog仿真,我们可以很容易地实现硬件设计在我们的现实生活环境满足效率问题,成本和透明度。通过使用基于FPGA的EVM关键技术电子自动售货机使用FPGA

1
下载
18
浏览
2023-07-09发布

7. 加法器(使用verilog编写的),虽然简单,但是这也是学习verilog最基础的东西!希望大家一起学习!...

加法器(使用verilog编写的),虽然简单,但是这也是学习verilog最基础的东西!希望大家一起学习!-The accumulator (uses the verilog compilation), although it is simple, but this also is studies most foundation of the verilog! Hopes everybody studies together!

1
下载
22
浏览
2023-07-08发布

8. 用VHDL编写的8259控制,供大家使用.

用VHDL编写的8259控制,供大家使用.-with VHDL control of the preparation of the 8259, for your use.

1
下载
19
浏览
2023-07-08发布

9. tcp/ip master

tcp/ip master tcp/ip master tcp/ip master tcp/ip master tcp/ip master tcp/ip master

1
下载
19
浏览
2023-07-08发布

10. 基于Nios II开发板的VGA控制器的DE1控制…

基于NIOS II 的DE1开发板的VGA 控制器VGA控制模块主要控制VGA模块的开始和其运行的状态,需要写一个Avalon 从端口响应CPU的控制信号,继而控制整个模块的运行,-Based on the DE1 of the NIOS II development board VGA controller to control the VGA module VGA main control module and its operation began, and the need to write a response to Avalon from the CPU ports of the control signal, and then control the operation of the entire module,

1
下载
23
浏览
2023-07-07发布

11. quartusii 三分频电路,大家帮参考一下,有什么问题

quartusii 三分频电路,大家帮参考一下,有什么问题-one-third of quartusii frequency circuit, refer to U.S. help, have any problem

1
下载
20
浏览
2023-07-07发布

12. 程序采用VHDL:频率合成DDS主要调用LPM,

程序用VHDL实现: 频率合成,DDS 主要调用LPM-procedures using VHDL : frequency synthesis, DDS major call LPM

2
下载
21
浏览
2023-07-07发布

13. Verilog languages with four arithmetic logic unit ALU, functional reference to 7...

用verilog语言编写的4位算术逻辑单元ALU,功能参考74181,包含.v文件以及测试用.vwf文件-Verilog languages with four arithmetic logic unit ALU, functional reference to 74,181, including. V documents and testing. Vwf document

1
下载
17
浏览
2023-07-06发布

14. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。

用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.

1
下载
21
浏览
2023-07-06发布

15. vhdl um teste com muita coisa interessante ae pra ver

vhdl um teste com muita coisa interessante ae pra ver

1
下载
19
浏览
2023-07-05发布

16. 一个简单的移位寄存器。VHDL语言的,或许会对你有所帮助!

一个简单的移位寄存器。VHDL语言的,或许会对你有所帮助!-A simple shift register. VHDL language, and perhaps will help you!

1
下载
21
浏览
2023-07-05发布

17. Electronic and system matlab simulation

Electronic and system matlab simulation

1
下载
21
浏览
2023-07-05发布

18. vhdl语言编程入门实例100个,部分附有仿真波形图,和一些基本的讲解...

vhdl语言编程入门实例100个,部分附有仿真波形图,和一些基本的讲解-vhdl examples

2
下载
39
浏览
2023-07-05发布

19. File name: ADC0809.vhd features: Based on the VHDL language, easy to control imp...

文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Description: ADC0809 internal clock does not need external 10KHz ~ 1290Hz clock number, here by the FPGA system clock (50MHz) frequency by 256 points to be clk1 (195KHz ) as the conversion ADC0809 clock job.

1
下载
20
浏览
2023-07-04发布

20. 自适应滤波器

由于衍射、散射、反射和稀疏等环境损伤的增加,其后果是信号视线的丧失和干扰。自适应信号处理可以克服这些缺陷。该代码是用甚高速硬件描述语言(VHDL)编写的,用以滤除高频,减少噪声和干扰。

1
下载
18
浏览
2023-07-04发布