登录
首页 » VHDL » Shift_reg

Shift_reg

于 2012-03-26 发布 文件大小:1037KB
0 56
下载积分: 1 下载次数: 5

代码说明:

  一个简单移位寄存器代码,verilog HDL编写(a simple shift register example,write with verilog HDL)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104042会员总数
  • 18今日下载