登录
首页 » MathCAD » 31111787

31111787

于 2016-01-25 发布 文件大小:229KB
0 128
下载积分: 1 下载次数: 25

代码说明:

  设计了基于模糊控制器的风力水力互补发电系统,并利用MATLAB软件中的Simulink工具箱对该系统进行了建模仿真,取得了良好的效果。仿真结果表明,基于模糊控制器的风力水力互补发电系统可以很好地解决风力发电波动性大的问题,为风电的大规模应用提供了可行的解决方案。内含文档和MATLAB程序。(The wind power generation system based on fuzzy controller is designed, and the system is modeled and simulated by using Simulink toolbox in MATLAB software. The simulation results show that the wind power generation system based on fuzzy controller can solve the problem of large fluctuation of wind power, which provides a feasible solution for large scale application of wind power. Containing documents and MATLAB program.)

文件列表:

31111787
........\simulation
........\..........\fuzzycontrol.fis,1862,2014-12-21
........\..........\modle.slx,17107,2014-12-21
........\..........\wblsig.m,4020,2014-12-15
........\模糊控制在风力水力互补发电中的应用.docx,233400,2014-12-28

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • stm32f4_urat
    stm32f4串口通信,STM32F4与STM32F1在ADC方面的区别(stm32f4 usart)
    2012-12-14 15:24:09下载
    积分:1
  • 蜂鸣器使用
    说明:  STM32F103控制蜂鸣器鸣叫,基于keil4软件(STM32F103 Control Buzzer)
    2020-06-24 08:00:02下载
    积分:1
  • WGBasicTest
    说明:  门禁开发流程,通用流程解析,详细分析了小区门禁开发流程和思路等(Access control development process, general process analysis, train of thought analysis, etc.)
    2020-06-22 02:40:01下载
    积分:1
  • adxl345_dspic33
    ADXl345 driver ADXl345 driver ADXl345 driver
    2015-09-07 10:16:08下载
    积分:1
  • ev1527
    基于一款EV1527的解码程序,配合330K震荡电阻使用(Based on the a EV1527 decoding program, with the use of 330K shock resistance)
    2020-09-08 20:18:04下载
    积分:1
  • gps_with_glcd
    Code GPS to LCD By C code
    2012-01-21 03:06:20下载
    积分:1
  • juzhenjianpan
    MSP430F169单片机矩阵键盘扫描程序,用一位共阳数码管显示(MSP430F169 microcontroller matrix keyboard scanning procedures, using a common anode LED display)
    2013-07-27 15:12:56下载
    积分:1
  • E931.96
    本文档基于 E931.96 运动检测控制器和 LHI968 被动红外探头设计被动红外,采用的板 子为 ZLG APL_PIR V1.00,控制器为 LPC1114,开发环境为 Keil。(This document is designed based on a passive infrared motion detection controller E931.96 and LHI968 passive infrared sensor, using the board as ZLG APL_PIR V1.00, controller LPC1114, development environment for Keil.)
    2020-10-12 23:27:32下载
    积分:1
  • rectangles
    Test code for TFT 3.5 LCD touchscreen for Arduino UNO
    2014-07-22 01:30:28下载
    积分:1
  • hanshuxinhaofashenqi
    基于单片机的函数信号发生器的C语言代码,自己做的(Function signal generator based on single chip microcomputer C language code )
    2015-05-10 15:23:41下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载