登录
首页 » VHDL » Regs

Regs

于 2019-04-03 发布
0 49
下载积分: 1 下载次数: 1

代码说明:

说明:  一个小寄存器堆,使用参数化编程,附有仿真代码,可直接在vivado(2018.2版本及以后)上运行(A small register heap, using parametric programming)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104049会员总数
  • 30今日下载