登录
首页 » Verilog » 24小时计时时钟

24小时计时时钟

于 2020-06-23 发布
0 46
下载积分: 1 下载次数: 1

代码说明:

说明:  实现24小时计时,因为位数不够,这里是12进位,可自行调整进位数(Realize 24-hour timing, because the number of digits is not enough, here is 12 carry, you can adjust the carry number by yourself.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104042会员总数
  • 18今日下载