登录
首页 » Matlab » 车间作业调度源代码matlab开发程序

车间作业调度源代码matlab开发程序

于 2022-03-11 发布 文件大小:7.46 kB
0 25
下载积分: 2 下载次数: 1

代码说明:

音频信号通过LM386放大,一种流形学习算法(很好用),DC-DC部分采用定功率单环控制,信号处理中的旋转不变子空间法,从先验概率中采样,计算权重,AHP层次分析法计算判断矩阵的最大特征值。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • TLI
    将要分析的数据放在C盘的ELI.xls表的Bay表单中,根据数据多少调整读取范围(修改 b2:f16 此句) 结果有两种,一种是按数据计算权值求出的结果,另一种是参考建议值求出的结果。结果写入C盘的ELI表格,范围要手动根据数据多少调整(The data will be analyzed on the C disk ELI.xls Table Bay form, how much to adjust the data read range (change ' b2: f16' sentence) result, there are two, one is calculated according to weight data the results obtained, the other is the result of reference to find the recommended value. Results written to C drive of the ELI forms, the scope of how much to manually adjust the data)
    2010-10-18 22:26:09下载
    积分:1
  • jiechanrao
    对干涉图进行相位提取后,要获得真实相位图分布,需要对相位主值图进行展开,即相位解缠绕,本程序应用基于调制度方法进行解缠绕。(Right to interfere in the phase diagram extracted to obtain the true distribution of the phase diagram, the need to start phase diagram principal value, that is, the phase winding solution, the program application solution based on the modulation method of winding.)
    2020-07-09 15:08:55下载
    积分:1
  • amdf
    求解出一段语音的短时平均幅度差函数AMDF,并绘制其波形图。(A speech to solve the short-term average range difference AMDF function, and draw the waveform figure.)
    2012-04-02 14:59:05下载
    积分:1
  • MATLAB-GUI
    本书由浅入深、循序渐进地介绍了GUI设 计的基础知识和技巧,旨在使读者在较短时间内熟练掌握GUI设计的精要所在(Book Deep, a gradual introduction to the GUI based Account the basic knowledge and skills designed to enable readers to master the essence of GUI design in a relatively short period of time)
    2012-05-01 11:10:14下载
    积分:1
  • huffman_encode
    基于最小二元树的编码传输问题,用Matlab编程求解(Binary tree coding based on minimum transmission problem, solved with the Matlab programming)
    2011-08-21 21:33:20下载
    积分:1
  • SVR-NONLINEAR
    基于SVR的非线性系统的辨识和校验,辨识信号为幅值为2的随机信号(Nonlinear systems based on SVR identification and validation, identification signal is a random signal amplitude of 2)
    2013-09-15 21:35:59下载
    积分:1
  • 完美的Floyd算法、dijkstra算法源代码
    相参脉冲串复调制信号,一种流形学习算法(很好用),抑制载波型差分相位调制,在matlab环境中自动识别连通区域的大小,分析了该信号的时域、频域、倒谱,循环谱等,gmcalab 快速广义的形态分量分析。
    2022-01-25 21:27:53下载
    积分:1
  • 30个案例分析的代码第17章
    智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析智能算法30个案例分析
    2022-12-22 22:55:03下载
    积分:1
  • OFDM-BPSK
    OFDM BPSK 仿真 (OFDM BPSK simulation)
    2020-10-05 15:57:39下载
    积分:1
  • shuangshuchu
    升压双输出直流变换器!就是一个直流电源,经过一个反激升压,输出两个相等电压.(A BOOST DUAL OUTPUT DC/DC CONVERTER)
    2012-04-29 09:53:57下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载