登录
首页 » Verilog » 一种新型设计的可逆 2:4 译码器

一种新型设计的可逆 2:4 译码器

于 2023-03-10 发布 文件大小:4.47 kB
0 31
下载积分: 2 下载次数: 1

代码说明:

可逆的逻辑已收到

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • DAC_VHDL
    DAC VHDL code using SPI method
    2016-11-09 19:53:01下载
    积分:1
  • FPGA设计全流程Modelsim+Synplify.Pro+ISE
    说明:  介绍了FPGA的使用以及modelsim联合synplify工具的使用方法(This paper introduces the use of FPGA and the use of Modelsim joint Synplify tool)
    2020-04-14 11:48:29下载
    积分:1
  • 华为FPGA设计全套
    华为fpga设计全套,经典入门教程,华为fpga设计全套,(verilog,HUAWEI FPGA design complete set)
    2020-12-20 15:49:09下载
    积分:1
  • fir滤波器
    用matlab中工具fdatool生成一个低通滤波器,将滤波器系数量化。仿真通过,通带2.5兆,截止频率5M。
    2022-03-20 06:42:57下载
    积分:1
  • ADC0832
    AD0832 AD转换程序,功能完全通过测试,备注非常详细,KEILC编程,通用性强(AD0832 AD converter, fully functional test, notes, very detailed, KEILC programming, versatility)
    2011-09-01 17:20:08下载
    积分:1
  • 电梯控制器
    这个项目的目的是要为想要建立时序控制电路的数字化设计实验提供一个模型。设计的电路模拟电梯的运作。仿真器有输入来控制电机,其方向、 门、 灯等。它还具有输出信号调用按钮、 液位传感器和安全。使用 Verilog 硬件描述语言和使用四个 22V10 小的可编程逻辑器件 (学童) 实现,设计的电路。提供了三级安装示例电梯控制器。
    2023-06-13 14:05:03下载
    积分:1
  • 可编程 GPIO 外围 APB 奴隶界面
    可编程的一般目的编程 I/O (GPIO) 外围设备。此组件是一个 AMBA 2.0 兼容先进的外设总线 (APB) 奴隶装置。DW_apb_gpio 块: ■ APB 接口或从 APB 桥的主要接口,下列功能团体■ 外部数据接口或从 I/O 垫■ 辅助硬件数据接口给或来自辅助数据接收器或源■ 中断接口或从中断控制器
    2022-04-25 16:45:53下载
    积分:1
  • 可以在Verilog HDL及其测试代码协议实现
    本控制器与博世参考模型测试
    2022-11-08 00:20:03下载
    积分:1
  • multifre
    说明:  资料的内容是实现旋转机械同步整周期采样的数据采集系统相关文献资料,包括鉴相信号如何倍频,机械振动信号相位如何检测等的实现方法。(Information content is for rotating mechanical synchronization synchronous sampling data acquisition system-related documents, including the Kam-believe number to harmonic mechanical vibration signal phase to detection of realization.)
    2010-04-26 15:56:20下载
    积分:1
  • 高级的加密标准
    AES (高级加密标准) 是一种标准的加密算法。 它使用其定义自定义的子字节变换、 多项式乘法等进行加密。 请参阅附加的语言代码。 通过 Xilinx ISE 12 打开它。
    2022-07-04 11:37:25下载
    积分:1
  • 696522资源总数
  • 104042会员总数
  • 18今日下载