登录
首页 » Verilog » 网络上的芯片

网络上的芯片

于 2023-04-01 发布 文件大小:9.08 kB
0 38
下载积分: 2 下载次数: 1

代码说明:

设计处理最小化路由器端口五口三个端口,这样我们可以节省功耗和面积。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • verilog_rtl
    关于LDPC解码的verilog程序,包含设计代码和验证环境(LDPC decoding on verilog procedures, including the design code and verification environment)
    2015-10-29 15:42:03下载
    积分:1
  • cic_dec_8_five
    CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频(CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion)
    2010-03-02 12:53:31下载
    积分:1
  • pcm的verilog实现
    代码已经有优化,实现了PCM的verilog实现,可以用来学习哦。适合对PCM初学者有很好的指导作用。希望能够帮助到你。代码已经有优化,实现了PCM的verilog实现,可以用来学习哦。适合对PCM初学者有很好的指导作用。希望能够帮助到你。
    2022-09-07 01:05:03下载
    积分:1
  • chengxu
    数字时钟,可以实现(1) 显示日期功能(年、月、日、时、分、秒以及) (2) 可通过按键切换年、月、日及时、分、秒的显示状态 (3) 可随时调校年、月、日或时、分、秒 (4) 可每次增减一进行时间调节 (5) 可动态完整显示年份,实现真正的万年历显示 (6) 可显示温度 (Digital clock, can be achieved (1) the date function (year, month, day, hour, minute, seconds as well) (2) through the key switch the year, month, day in a timely manner, minute, second display state (3) at any time adjust the year, month, day or time, minutes, seconds (4) can be added or deleted, a time adjustment (5) can be dynamically complete display Year, the real calendar display (6) to display temperature)
    2012-10-15 00:25:33下载
    积分:1
  • UART
    verilog代码,串口发送接收代码,含有源代码和测试文件,准确可用(verilog code for serial port transmit and receive code, with source code and test files, and accurate available)
    2011-10-19 09:20:12下载
    积分:1
  • ADC_TCL5510-verilog
    verilog 驱动TLC5510代码,TLC5510是高速的AD,可达20MHz(verilog code driven TLC5510, TLC5510 is a high-speed AD, up to 20MHz)
    2020-08-13 21:28:29下载
    积分:1
  • I2C verilog
    I2C verilog files. Define a simple interface of I2C.After testing to ensure the using.
    2022-01-31 11:40:44下载
    积分:1
  • EDA
    EDA技术及其应用《序列信号发生器的设计》,包括源文件。(EDA technology and its applications " sequence signal generator design, including source files.)
    2012-10-29 18:30:40下载
    积分:1
  • 各种基础module打包下载全集
    例如分频器,alu,ram的verilog实现(The implementation of divider, alu, ram etc. in verilog)
    2020-10-12 23:37:32下载
    积分:1
  • Radar-on-FPGA
    主要论述了基于FPGA的末制导雷达伺服系统设计。结合末制导雷达讨论其电机控制、二阶伺服系统性能和PID校正算法,利用VHDL语言设计,实现基于FPGA的方位步进电机开环定位控制和俯仰直流电机闭环速度控制的伺服系统。结合实际应用中遇到的问题,提出了基于"反馈控制"理论的有效的补偿算法,该算法提高了伺服系统的稳定性、快速性和精度。(Mainly discusses the design of terminal guidance radar servo system based on Field Programmable Gates Array(FPGA).It includes the system’s electric machine control,second-order servo system performance and PID correction algorithm based on Virtual Hardware Description Language(VHDL) on azimuth stepping motor open loop positioning control and pitch direct current electric machine closed loop speed control of the FPGA servo system.In allusion to some factual problems during its application,presents corresponding effective solutions based on traditional control theory "Feedback Control".The fact proves that these methods can greatly improve the stability,speediness and precision of the original servo system.Additionally,a basic algorithm which can be realized in a terminal guidance radar servo system is given)
    2012-08-11 17:51:55下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载