登录
首页 » Verilog » PCIE_DM

PCIE_DM

于 2023-05-27 发布 文件大小:11.23 MB
0 32
下载积分: 2 下载次数: 1

代码说明:

此代码带实现PCIE RC端的RTL代码,详细的描述了RC端是如何工作的,工作性质基本与EP端类似。通过类似于网络中的包进行数据的接收与发送。 包含RTL代码和详细的文档说明。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • MT25QL02GCBB8E0_VG12.tar
    说明:  美光MT25Q系列NOR Flash测试模型(Micron MT25Q Series NOR Flash Test Model)
    2021-01-28 21:28:36下载
    积分:1
  • 异步fifo_MSB
    异步fifo,实现的方法是MSB,满足慢时钟域到跨时钟域的数据传输,也满足快时钟域到慢时钟域的数据传输。
    2022-11-21 12:00:03下载
    积分:1
  • 061110061
    在quartus平台下使用verilog语言编程实现简单的单流水线CPU,可以执行16条基本指令(Quartus platform in the verilog language programming using a simple single-line CPU, can perform 16 basic instructions)
    2010-05-21 20:01:16下载
    积分:1
  • ram_test
    说明:  对片内RAM进行读写操作,通过数据的写入和读出,对RAM的操作进行熟悉。(Read and write ram on chip, and get familiar with RAM operation through data writing and reading.)
    2020-08-17 11:38:22下载
    积分:1
  • 用Matlab编写fft
    说明:  在MATLAB下自编实现快速傅里叶分析,(Fast fft own procedures, faster than the system call fft slowe)
    2020-06-23 09:00:02下载
    积分:1
  • 国密SM4 verilog实现
    国密SM4 verilog 实现 本算法是一个分组算法。该算法的分组长度为128比特,密钥长度为128比特。加密算法与密钥扩展算法都采用32轮非线性迭代结构。
    2022-05-21 15:26:05下载
    积分:1
  • FFT_Module
    接收机数字部分FFT模块的代码 包括verilog代码、 matlab仿真、 word文档 testbench 实现FFT(The code of the digital part FFT module of the receiver Including Verilog, matlab simulation, testbench Implementation of FFT)
    2020-11-18 20:49:38下载
    积分:1
  • 5_lcd_ST7565P_12864
    液晶ST7565P_12864驱动,实现打点成图。(LCD ST7565P_12864 drive, dot mapping.)
    2012-04-04 20:01:42下载
    积分:1
  • DA_AD
    基于FPGA的AD和DA设计代码及文档(Design code and document of AD and DA based on FPGA)
    2017-11-07 22:03:30下载
    积分:1
  • 基于ARM_FPGA的嵌入式数控装置研究_周茉
    基于ARM_FPGA的嵌入式数控装置研究,有具体的方案,思路,程序(ARM_FPGA based embedded CNC device research, there are specific programs, ideas, procedures)
    2018-07-31 12:55:36下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载