登录
首页 » VHDL » State.Machine.Coding.Styles.for.Synthesis(状态机,英文,VHDL)

State.Machine.Coding.Styles.for.Synthesis(状态机,英文,VHDL)

于 2023-06-02 发布 文件大小:121.59 kB
0 124
下载积分: 2 下载次数: 1

代码说明:

State.Machine.Coding.Styles.for.Synthesis(状态机,英文,VHDL)-State.Machine.Coding.Styles.for.Synthesis (FSM, English, VHDL)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 我是VHDL的初学者,这是我自己编译的简单的几个VHDL码,功能有3...
    我是VHDL的初学者,这是我自己编译的简单的几个VHDL码,功能有3-8解码器及其testbench,16位寄存器及其testbench和交通灯。 希望能和其他初学者一起讨论学习,并得到高手的指点-I VHDL beginners, this is my own translation of a few simple VHDL code. 3-8 function decoder and testbench, 16 Register and testbench and traffic lights. Hopes to be able to discuss other beginners learning, and with the guidance of the master
    2022-05-14 07:13:44下载
    积分:1
  • zw222
    ZardWars Files ------------------------------
    2014-03-20 01:43:16下载
    积分:1
  • asynchronous-clock-boundary
    一个关于跨越异步时钟边界传输数据的解决方案(The solution of transfering data across asynchronous clock boundary.)
    2011-12-21 14:30:54下载
    积分:1
  • TIMING LEARNING
    TIMING LEARNING -TIMING LEARNING
    2023-04-26 09:15:03下载
    积分:1
  • NIOSII-Qsys_v1.3.1
    黑金刚FPGA开发板使用说明文档,讲诉了NIOS和Qsys的详细开发步奏,值得学习。(KINGBOX FPGA development board documentation, recounts in detail the development of step-outs and Qsys NIOS, it is worth learning.)
    2015-03-25 13:42:03下载
    积分:1
  • FPGA
    基于FPGA的VHDL编程实现各种音频信号,采用的是周立功公司的fusion_startkit开发板。-FPGA-based VHDL Programming realize a variety of audio signals, are used by companies fusion_startkit weeks Ligong development board.
    2022-07-15 20:29:13下载
    积分:1
  • 阶梯波程序
    LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ladder IS PORT(clk,reset:IN STD_LOGIC;
    2023-07-31 13:05:03下载
    积分:1
  • vhdl training
    Five day stmicroelectornics vhdl training presentation
    2018-08-14 21:51:58下载
    积分:1
  • uart766
    ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no) >= “0001” and std_logic_vector(length_no) <= “1001” then -----数据帧数据由接收串行数据端移位入接收移位寄存器---rsr(0) <= rxda --- rsr(7 downto 1) <= rsr(6 downto 0) --- parity <= parity xor rsr(7) --- elsif std_logic_vector(length_no) = “1010” then --- rbr <= rsr --接收移位寄存器数据进入接收缓冲器--- ...... --- end if(--- achieve some VHDL procedure is as follows.--- Elsif clk1x event and then a clk1x = s--- if td_logic_vector (length_no))
    2007-06-02 12:44:31下载
    积分:1
  • matlab-gmsk
    基于matlab和vhdl的通信原理gmsk调制算法,主要包括GMSK相位路径的计算,GMSK眼图的仿真以验证相位计算的正确性,正余弦表的量化及bin文件的生成,以及用VHDL硬件语言所描述的基于EPM7128的地址逻辑.(Matlab and vhdl based on the principle gmsk Modulation of communication, including GMSK phase path calculation, GMSK eye diagrams of the simulation to verify the correctness of the phase calculation, is the cosine table generating quantitative and bin files, and using VHDL hardware description language logic based on the address of EPM7128.)
    2020-12-19 10:39:10下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载