登录
首页 » VHDL » 高速FIFO,verilog设计。速度高达130Mhz

高速FIFO,verilog设计。速度高达130Mhz

于 2023-06-26 发布 文件大小:105.36 kB
0 166
下载积分: 2 下载次数: 1

代码说明:

高速FIFO,verilog设计。速度高达130Mhz-High-speed FIFO, verilog design. Speed up to 130MHz

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • rs_encoder
    适应多个模式的rs编码,Verilog,选择对应的多项式(RS coding adapted to multiple modes.)
    2020-06-16 04:40:02下载
    积分:1
  • costas
    载波同步,costas环,基于Verilog的载波同步环(Carrier synchronization, costas ring, based on Verilog carrier synchronization ring )
    2021-03-05 13:09:31下载
    积分:1
  • I2C
    K2FPGA开发板实验教程——I2C协议说明及verilog实现读写I2C器件,中文内涵代码,验证可用。(K2FPGA development board test tutorial- I2C protocol description and verilog read and write I2C devices, Chinese connotation code to verify availability.)
    2014-03-28 16:37:59下载
    积分:1
  • 使用CPLD进行驱动电机演示,使用硬件编程语言,适合初学者
    使用CPLD进行驱动电机演示,使用硬件编程语言,适合初学者-use of motor-driven CPLD for a demonstration of the use of hardware programming language, suitable for beginners
    2023-01-01 07:05:08下载
    积分:1
  • 一个4×4矩阵键盘接口程序的Verilog设计(FPGA)
    一个4*4矩阵键盘的VERILOG接口程序设计(FPGA)-A 4* 4 matrix keyboard interface program Verilog Design (FPGA)
    2022-07-24 14:37:13下载
    积分:1
  • VHDL
    用VHDL语言实现一Mealy型时序电路,并做时序仿真和功能仿真检验正确与否。(Implement a Mealy-type sequential circuits using VHDL language, and do functional simulation and timing simulation test correct.)
    2014-03-20 14:44:28下载
    积分:1
  • cpu_easy
    说明:  ADD MOV MOVi SUB四指令cpu设计,qutartus,(Design of four-instruction CPU)
    2019-05-13 11:44:49下载
    积分:1
  • PID
    利用VHDL语言实现PID控制,已经过调试验证。(Using VHDL language to implement PID control)
    2018-04-30 16:33:39下载
    积分:1
  • UART receiver and transmitter using vhdl
    这是执行高速的代码通用异步收发器代码是用VHDL写的语言.UART是一种在传输端进行并行输入和串行输出,在接收端进行串行输入和并行输出的算法。
    2022-02-06 12:51:51下载
    积分:1
  • 硬件快速乘法
    应用背景对阵列的快速乘法的VHDL代码。作为主成分分析的项目的一部分,在FPGA。在可重构硬件平台实现的时候,该代码被开发,使矢量二进制乘法运算速度快。该程序可以在Xilinx Xise自如,可以在任何Xilinx FPGA编程。 ; ; ; ; ; ; ; ; ; ; ; ; ;关键技术该项目可开喜色从Xilinx。所有代码都是用VHDL和接收两个向量是mutliplied进行保存加法器加速增殖过程。最后的总和计算纹波进位加法器。操作数的长度是16位,结果是32位加一。
    2023-02-03 01:15:04下载
    积分:1
  • 696522资源总数
  • 104042会员总数
  • 18今日下载