登录
首页 » Verilog » 移位寄存器(右移和左移)

移位寄存器(右移和左移)

于 2023-08-01 发布 文件大小:1.31 kB
0 26
下载积分: 2 下载次数: 1

代码说明:

module shiftrne(R,L,E,w,Clock,Q);   parameter n=4;   input [n-1:0]R;   input L,E,w,Clock;   output reg [n-1:0]Q;   integer k;      always@(posedge Clock)   begin     if(L)         Q

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 温度传感器TMP121控制代码——Verilog
    温度传感器TMP121控制代码——Verilog产品验证过
    2022-04-07 02:35:55下载
    积分:1
  • A402-OutputTFT-LCDDriverICWithPower
    文档主要是关于TFT-LCD的相关资料,是有关TFT-lcd芯片的结构与设计理念,对于在这方面学习的朋友有比较大帮助(402 output thinfilm transistorliqu idcrystal display(TFT-LCDdriver integrated circui(ICwith power controlbasedon the number of color stobe displaye disdescribed. Toachievethistypeofpowercontrol,referencevoltagebuffersare turnedonandoffaccordingtotheselectednumberofcolors.)
    2011-08-20 17:08:00下载
    积分:1
  • verilog数字式秒表
    数字秒表的设计思路是通过一个计数电路,首先对一个时钟进行不同的分频,然后将分频出的时钟分别送给相的的模块,毫秒计数器,秒计数器,分计数器,时计数器,然后经过译码电路送给数码管,显示出相应数字。具体操作则是通过外部的开关防颤动电路来设计控制器,从而达到对计时模块的控制,完成“计数”、“停止”和“复位”的动作。
    2022-01-22 04:16:59下载
    积分:1
  • 20190717 - Copy
    this describes building spi block on verilog hdl and programming them on an fpga device
    2020-06-21 21:40:02下载
    积分:1
  • amba3-vip-master
    说明:  All AMBA bus protocols - AXI3, AXI4, AXI4-Lite, ACE, AHB
    2021-01-11 10:08:49下载
    积分:1
  • ram32b
    VHDL code for 32 byte RAM
    2009-06-04 19:50:35下载
    积分:1
  • digital_piano-VHDL
    使用VHDL编写数字蜂鸣器音乐,整个项目文件,可直接使用 (Use VHDL to write 1602led driver, the entire project file, and can be used directly.)
    2020-12-27 22:49:03下载
    积分:1
  • Verilog代码支持IO中断的CPU实现
    Verilog代码,支持IO,中断的cpu实现。(Verilog code, support IO, interrupt cpu implementation.)
    2020-07-05 20:28:59下载
    积分:1
  • AD7606URAT
    Verilog实现高速AD7606数据采样,8通道,采样频率可调,支持串口数据发送,亲测可用。(Verilog AD7606 high-speed data sampling, 8-channel, the sampling frequency is adjustable, support for serial data transmission, pro-test is available.)
    2021-04-16 21:38:53下载
    积分:1
  • fenpin
    这是一个二进制的最简单分频器,是一个简短的fpga代码,用verilog书写(This is the most simple of a binary frequency divider, the fpga is a short code, written in verilog)
    2013-11-17 15:01:30下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载