Warning: dns_get_record(): A temporary server error occurred. in /www/wwwroot/imdn.zafuwsn.com/thinkphp/library/think/Loader.php on line 673
 M4A564/32 CPLD VHDLA程序,调试可用,51扩展.-VHDL - IMDN开发者社群-imdn.cn
登录
首页 » VHDL »  M4A564/32 CPLD VHDLA程序,调试可用,51扩展.

 M4A564/32 CPLD VHDLA程序,调试可用,51扩展.

于 2023-08-25 发布 文件大小:956.00 B
0 26
下载积分: 2 下载次数: 1

代码说明:

 M4A564/32 CPLD VHDLA程序,调试可用,51扩展.-M4A564/32 CPLD VHDLA procedures, debugging is available, 51 to expand.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • fft_32k_readme_v1_0_0
    Fast Fourier Transform (FFT) 32K Point Design contains information about the design example
    2018-10-11 15:11:54下载
    积分:1
  • edc_spi_command
    单片机和FPGA的通信程序,发送5个数,传输稳定,可以自行修改可一次传多个数(MCU and FPGA communication program, send five the number of stable transmission, you can modify the number may be more than one pass)
    2013-09-14 21:09:52下载
    积分:1
  • 41_eth_ddr3_lcd
    说明:  “基于 ROM 的 LCD图片显示实验 ”中利用 FPGA 片上存储资源存储图片,并通过 LCD接口将图片显示到 LCD屏幕上。但是由于 FPGA 片上存储资源有限,只能存储分辨率较小的图片(In the experiment of LCD image display based on ROM, FPGA on-chip storage resources are used to store pictures, and the pictures are displayed on LCD screen through LCD interface. However, due to the limited on-chip memory resources of FPGA, it can only store images with smaller resolution)
    2021-03-21 00:33:00下载
    积分:1
  • APB 总线
    APB 总线。可以实现单个数据在总机与从机之间的读写功能(This can achieve the read and write functions of a single data between the master and the slave .)
    2017-08-22 16:04:06下载
    积分:1
  • VHDL USB2.0接口源码,内有说明,详细.
    VHDL USB2.0接口源码,内有说明,详细.-VHDL USB2.0 interface source code, which is described in detail.
    2022-04-29 19:53:42下载
    积分:1
  • MIPSTOP
    misp顶层文件,verilog实现misp架构,并且支持modelsim仿真(Verilog implements MISP architecture and supports Modelsim simulation)
    2020-06-18 04:40:02下载
    积分:1
  • fre
    本设计是基于EP4CE15F17C8N和12864液晶的频率计程序(The design is based EP4CE15F17C8N and 12864 LCD frequency meter program)
    2015-08-12 08:39:32下载
    积分:1
  • Quartus II VHDL语言8分频器计数器
    分频计数器是指对信号进行分频处理的计数器,分频分偶数和奇数分频两种。VHDL主要用于描述 数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的 计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL 系统设计的基本点。
    2022-06-12 04:54:28下载
    积分:1
  • vhdl写的ds18b20程序,相互交流
    vhdl写的ds18b20程序,相互交流-vhdl written ds18b20 procedures, mutual exchange
    2022-03-19 16:58:50下载
    积分:1
  • quartus II中文用户教程(英文版的完全翻译)
    说明:  quartus II中文用户教程(英文版的完全翻译),和一切爱好可编程器件的同仁共勉之(Quartus II Chinese user guide (English version of the full translation) love and all programmable devices colleagues share Zhi)
    2020-12-21 14:19:08下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载