登录
首页 » Verilog » 并行LMS均衡FPGA实现

并行LMS均衡FPGA实现

于 2023-09-08 发布 文件大小:4.57 kB
0 38
下载积分: 2 下载次数: 1

代码说明:

实现FPGA的并行LMS均衡,主要是均衡计算权值系数的算法过程,verilog语言,模块的输入为输入的X信号,输出为权值系数W,以及最后的输出Y。实现了LMS 的并行均衡过程

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 16QAM调制与解调的FPGA实现
    该源代码是实现14路并行的16QAM的调制,以及解调,其中还包含测试文件,已经在altera FPGA上面实现了其正确性,可以直接拿来使用。
    2022-06-11 17:29:04下载
    积分:1
  • classdiagramnew
    class diagram diagram for AIRS
    2015-06-10 22:44:10下载
    积分:1
  • CH03_RGMII_UDP_TEST
    基于RGMII的UDP网络数据通信,学习FPGA的千兆以太网通信(RGMII based UDP network data communication, learning FPGA Gigabit Ethernet communications)
    2017-09-11 23:04:19下载
    积分:1
  • IIC总线Verilog实现(读写16为数据)
    应用背景本此代码实在课题研究中驱动某个外设模块,在驱动中命令的外设状态的读写遵守IIC总线传输协议,但是由于该外设的命令和寄存器状态以字为单位,一般情况的IIC总线是实现的是8位数据的读写,在这需要的16位数据的读写,由此写出的此代码。关键技术一般情况下的IIC总线传输协议的写操作是先写设备地址然后等待设备的应答ACK信号,然后在写写操作寄存器的地址,然后等待ACK应答信号,然后再写入需要传输的8位数据,在这个代码中我们实现的是16位数据的写操作,所以前两步的操作中是一样的,最后写数据的操作是有变化的。读操作同上,只是多了一步Re-Start的过程
    2022-03-22 01:40:15下载
    积分:1
  • dianzhen
    如果需要用verilog设计一项比较简单的功能,那么这个浅显易懂的程序能让你很快明白点阵的设计方法,尤其是对那些初学者(If you need to use a relatively simple verilog design features, then this easy to understand design of the program allows you to quickly understand the lattice method, especially for those who are beginners)
    2014-01-16 16:13:53下载
    积分:1
  • UDP
    用FPGA中的三速以太网来实现UDP通信,功能强大(With a triple-speed Ethernet in the FPGA to implement UDP communication, powerful)
    2013-03-08 18:27:38下载
    积分:1
  • fpga_2014_flappy_bird
    用VHDL语言写了个FLAPPY_BIRD的程序,利用板子与屏幕可以运行游戏(VHDL language to write a program FLAPPY_BIRD by the board and the screen can run the game)
    2020-11-06 09:59:49下载
    积分:1
  • sdram verilog
    sdram FPGA verilog设计  有源码有设计文档
    2023-04-21 03:40:04下载
    积分:1
  • matlab123
    多个MATLAB设计滤波器的方法程序以及图形实现(number MATLAB filter design methods and procedures and Graphics)
    2006-12-27 23:07:56下载
    积分:1
  • yibuqingling
    含异步清零和同步清零的计数器的设计,内容是源代码,以及相关文件,打开即可(Clear cleared asynchronous and synchronous with the counter design, content source code and related documents, can be opened)
    2011-08-24 10:44:33下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载