登录
首页 » VHDL » DS1820

DS1820

于 2020-11-01 发布 文件大小:2KB
0 53
下载积分: 1 下载次数: 24

代码说明:

  DS18B20温度传感器,用verilog语言实现(DS18B20 temperature sensor, with the verilog language)

文件列表:

DS1820.v,15572,2008-12-03

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103920会员总数
  • 65今日下载