登录
首页 » VHDL » sobel

sobel

于 2011-05-10 发布 文件大小:4897KB
0 63
下载积分: 1 下载次数: 248

代码说明:

  Verilog代码实现Sobel算子,包括整个工程,仿真也有。。仿真表明该程序能实现Sobel 算子硬件实现(Verilog,Sobel Operator)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104046会员总数
  • 29今日下载