登录
首页 » VHDL » decoder_38

decoder_38

于 2013-08-04 发布 文件大小:224KB
0 60
下载积分: 1 下载次数: 1

代码说明:

  这是基于Quartus2 开发环境和verilog hdl语言写的38译码器(This is based development environment and Quartus2 verilog hdl language used to write decoder 38)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104040会员总数
  • 35今日下载