登录
首页 » VHDL » newViterbi217

newViterbi217

于 2020-06-29 发布 文件大小:18KB
0 82
下载积分: 1 下载次数: 126

代码说明:

  基于IEEE802.11n标准,采用verilog语言设计的(2,1,7)卷积码viterbi译码器,支持1/2,2/3,3/4,5/6四种码率的译码,以测试无误(IEEE802.11n standard Verilog language design (2,1,7) convolutional code viterbi decoder support 1/2, 2/3, 3/4, 5/6 four bit rate decoding to test and correct)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104047会员总数
  • 21今日下载