登录
首页 » VHDL » led_test

led_test

于 2013-08-13 发布 文件大小:148KB
0 44
下载积分: 1 下载次数: 1

代码说明:

  在Quartus II 上编程的基于FPGA的LED显示实验(Programming in the Quartus II LED display experiment based on FPGA )

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103945会员总数
  • 46今日下载