登录
首页 » VHDL » writereadflash

writereadflash

于 2013-07-14 发布 文件大小:1KB
0 54
下载积分: 1 下载次数: 4

代码说明:

  这个是用VHDL实现FPGA对FLASH的读写。(This is achieved using VHDL FLASH FPGA to read and write.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103939会员总数
  • 12今日下载