登录
首页 » VHDL » ps2

ps2

于 2014-09-16 发布 文件大小:3KB
0 61
下载积分: 1 下载次数: 1

代码说明:

  PS2键盘硬件模块控制器,主要实现硬件PS2键盘的控制,适合初学verilog学者实验。(PS2 keyboard controller hardware module, the main hardware PS2 keyboard control, suitable for beginners verilog scholar experiments.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103957会员总数
  • 51今日下载