登录
首页 » Asm » nan

nan

于 2020-12-21 发布 文件大小:2144KB
0 122
下载积分: 1 下载次数: 42

代码说明:

  在8086 proteus 下的 电压报警器 南通大学微机原理课程设计电压报警器(In 8086 proteus voltage alarm Nantong University Computer Principles of curriculum design voltage alarm)

文件列表:

电压报警器
..........\LM032L数据手册.pdf,259692,2013-02-28
..........\proteus仿真
..........\...........\KEYBOARD.DSN,215019,2013-02-28
..........\...........\KEYBOARD.PWI,1093,2013-02-28
..........\...........\Last Loaded KEYBOARD.DBK,215019,2013-02-28
..........\...........\test.asm,7036,2013-02-28
..........\...........\test.exe,5652,2013-02-28
..........\...........\test.obj,3538,2013-02-28
..........\微机原理课程设计_电压报警器.doc,2678784,2013-02-28

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 对raw图像的dct算法的实现过程
    对raw图像的dct算法的汇编语言实现过程-Dct on raw images algorithm implementation process of the assembly language
    2022-02-13 04:18:38下载
    积分:1
  • eemd
    用于解决EMD分解过程中存在的模态混叠问题,采用总体平均经验模态分解算法,同传统EMD相比,分解效果更好。(To solve the problem of modal aliasing existing in the EMD decomposition process, the total average empirical mode decomposition (EMD) algorithm is adopted, and the decomposition effect is better than that of the traditional EMD.)
    2017-11-05 14:55:57下载
    积分:1
  • 硬件可以捕捉到三的值
    硬件可以捕获三轴的值,然后进行rfid计算
    2022-05-21 14:00:22下载
    积分:1
  • view 下窗口切分,适合多文档始创,分厂好用
    view 下窗口切分,适合多文档始创,分厂好用-view under the window segmentation for multi-document, Pioneer, factory-to-use
    2022-07-22 04:40:08下载
    积分:1
  • 使用74164连接8行2列键盘的行线,74165连接键盘的列线,写扫描键盘,包括C和程序...
    使用74164连接8行2列键盘的行线,74165连接键盘的列线,编写扫描键盘,包括C和汇编程序-74164 connect using 8 line 2 keyboard line, out of 74,165 lines to connect the keyboard, the preparation of scanning the keyboard, including C and assembler
    2022-08-25 18:40:01下载
    积分:1
  • 一个开源的ARM仿真器,可以支持Strong ARM体系结构
    一个开源的ARM仿真器,可以支持Strong ARM体系结构-An open source emulator of the ARM, you can support the Strong ARM Architecture
    2022-02-01 20:01:17下载
    积分:1
  • This is a sample of OUT instruction. It writes values to virtual I/O port that c...
    This a sample of OUT instruction. It writes values to virtual I/O port that controls the stepper-motor. Try using datCCW, datCW_FS or datCCW_FS instead of datCW to get different behavior of the motor. -This is a sample of OUT instruction. It writes values to virtual I/O port that controls the stepper-motor. Try using datCCW, datCW_FS or datCCW_FS instead of datCW to get different behavior of the motor.
    2022-11-02 02:15:03下载
    积分:1
  • 。 。 。 。 。 。 。。 。
    。 。 。 。 。 。 。。 。 -。 。 。 。 。 。 。。 。 。 。 。 。 。 。 。
    2023-06-13 18:35:04下载
    积分:1
  • an rs
    一个rs-232接口的程序,已经通过调试。收发只用一个定时器。主芯片――8xC751-an rs-232 interface procedures have been adopted debugging. Transceivers only a timer. Main chip-- 8xC751
    2022-09-21 23:25:03下载
    积分:1
  • 这是一个学生成绩管理系统,其中“I”表示插入,“L”表示浏览,“Q”表示查询, “E”表示退出,“D”表示删除,“M”表示修改,"c"表示统计各分数段人数,...
    这是一个学生成绩管理系统,其中“I”表示插入,“L”表示浏览,“Q”表示查询, “E”表示退出,“D”表示删除,“M”表示修改,"c"表示统计各分数段人数,"p"表示打印. 本程序实现了: (1)单独运行以上各功能,即在进入主菜单以后,点一功能键后关闭,再进入主菜单 点别的功能键。如运行"cjgl"文件后,在出现的主界面中点“I”,可插入数据,插入完 以后,关闭;在出现的主界面中点“M”,可修改数据,修改完以后关闭,用同样的方法 可运行其它的功能。 (2)连续运行部分的功能。 应将文件"score.txt"放在相应的路径下.如在本程序中,需将该文件放在"c:masmscore.txt"下. 注:该文件的路径可从汇编源文件的"fname db "c:masmscore.txt"""中查找.-masm
    2022-08-13 20:43:04下载
    积分:1
  • 696524资源总数
  • 103872会员总数
  • 62今日下载