登录
首页 » matlab » FIR_1

FIR_1

于 2011-11-08 发布 文件大小:1KB
0 66
下载积分: 1 下载次数: 2

代码说明:

  Filtro FIR de primer orden

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • voice3
    program for distribution stimation of speech
    2010-09-01 18:36:41下载
    积分:1
  • Digital_PID
    Matlab连续系统的数字PID控制程序,可实现A/D,D/A功能,符合现场实际情况。(Matlab figure for PID control system procedures, can be A/D, D/A functions, in line with the actual situation at the scene.)
    2009-03-31 01:18:49下载
    积分:1
  • powerdistributionautomation
    用MATLAB编的配电网自动化的一些程序(Using MATLAB series Distribution Automation of some of the procedures)
    2008-03-18 19:57:23下载
    积分:1
  • ccsystems2e_Chapter01
    现代通信系统(mantlab)第二版 配套源码(modern communication system (mantlab) second version supporting FOSS)
    2007-05-17 21:15:14下载
    积分:1
  • LiuJinKun-intelligent-control-
    刘金琨Matlab智能控制源程序 书中的例程(LiuJinKun Matlab intelligent control source program Book of routines )
    2011-11-18 08:54:52下载
    积分:1
  • hd
    说明:  Sinusoidal and Space Vector Pulse Width Modulation for Inverter
    2014-02-12 19:05:03下载
    积分:1
  • @rotate3d
    matlab 3D绘图工具箱 十分实用 安装自己看教程(Matlab 3D graphics toolbox)
    2012-05-27 20:21:18下载
    积分:1
  • image-segmentation
    数字图像处理图片分割matlab源码,及示例(image segmentation)
    2015-04-15 11:14:10下载
    积分:1
  • xlswrite
    用matlab轻松实现excel格式的数据输出(Easy to use matlab data output excel format)
    2009-01-04 20:26:16下载
    积分:1
  • Cursors
    在matlab画图时 能增加两条数据指针 方便读取数据(put data cursors on your plots)
    2009-06-01 14:07:31下载
    积分:1
  • 696524资源总数
  • 103838会员总数
  • 43今日下载