登录
首页 » VHDL » keyscan

keyscan

于 2013-09-28 发布 文件大小:54KB
0 59
下载积分: 1 下载次数: 1

代码说明:

  利用VHDL语言编写的4*4键盘扫描程序,经过测试,可以放心使用。(Using VHDL language 4* 4 keyboard scanning procedures, tested, safe to use.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载