登录
首页 » VHDL » MAC

MAC

于 2012-10-18 发布 文件大小:3KB
0 72
下载积分: 1 下载次数: 51

代码说明:

  在FPGA硬件上,使用verilog语言编写的一个乘累加器程序。(FPGA hardware, a multiply accumulator verilog language program.)

文件列表:

乘累加器.doc,25088,2012-10-18

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104031会员总数
  • 39今日下载