登录
首页 » VHDL » ad5791

ad5791

于 2021-04-20 发布 文件大小:5KB
0 99
下载积分: 1 下载次数: 42

代码说明:

  在Quartus环境下编写,使用Cyclong系列芯片,配置七通道高精度AD5791,该例子为AD5791的FPGA配置使能代码,包括模拟数据输入模块,复位模块,命令接收是能配置模块。(AD5781,Digital signal convert to Analog signal)

文件列表:

ad.vhd,6324,2012-08-22
pll.vhd,17886,2012-08-22
rst.vhd,612,2012-08-22
test.vhd,1144,2012-08-22

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103939会员总数
  • 12今日下载