登录
首页 » VHDL » 3he11

3he11

于 2013-05-15 发布 文件大小:13KB
0 50
下载积分: 1 下载次数: 6

代码说明:

  产生SH,SP,RS,SP,φ1,φ2驱动脉冲,用于驱动TCD1501的的源代码(To generate SH, SP, RS, SP, φ1, φ2 drive pulse for driving TCD1501 source code)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104029会员总数
  • 31今日下载