登录
首页 » VHDL » half_band

half_band

于 2020-12-23 发布 文件大小:1KB
0 71
下载积分: 1 下载次数: 14

代码说明:

  半带滤波器verilog源代码,主要用于采样率变换系统中,采用乘法积累加器,很好的例子,供大家参考(Half band filter verilog code, mainly for the sampling rate conversion system, use the multiplication accumulation adder, a good example, for your reference)

文件列表:

HB2.v,9887,2014-10-31

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103920会员总数
  • 65今日下载