登录
首页 » Visual C++ » HUDxianshi

HUDxianshi

于 2021-04-09 发布 文件大小:2267KB
0 153
下载积分: 1 下载次数: 24

代码说明:

  模拟战斗机平显画面,实现ccrp投弹方式,速度高度表可动态显示(HUD screen fighter simulation to achieve ccrp bomb mode, speed altimeter dynamic display)

文件列表:

CCRP 2011301938 YSQ
...................\CCRP 2011301938 YSQ.APS,1493588,2015-04-08
...................\CCRP 2011301938 YSQ.clw,2747,2015-04-08
...................\CCRP 2011301938 YSQ.cpp,2223,2015-01-18
...................\CCRP 2011301938 YSQ.dsp,4478,2015-01-18
...................\CCRP 2011301938 YSQ.dsw,546,2015-01-18
...................\CCRP 2011301938 YSQ.h,1451,2015-01-18
...................\CCRP 2011301938 YSQ.ncb,91136,2015-04-08
...................\CCRP 2011301938 YSQ.opt,49664,2015-04-08
...................\CCRP 2011301938 YSQ.plg,2705,2015-04-08
...................\CCRP 2011301938 YSQ.rc,7824,2015-01-20
...................\CCRP 2011301938 YSQDlg.cpp,17448,2015-01-22
...................\CCRP 2011301938 YSQDlg.h,1702,2015-01-22
...................\Debug
...................\.....\CCRP 2011301938 YSQ.exe,1892431,2015-04-08
...................\.....\CCRP 2011301938 YSQ.ilk,225344,2015-04-08
...................\.....\CCRP 2011301938 YSQ.obj,14423,2015-01-22
...................\.....\CCRP 2011301938 YSQ.pch,5500452,2015-01-18
...................\.....\CCRP 2011301938 YSQ.pdb,418816,2015-04-08
...................\.....\CCRP 2011301938 YSQ.res,1474220,2015-01-20
...................\.....\CCRP 2011301938 YSQDlg.obj,61180,2015-04-08
...................\.....\StdAfx.obj,105735,2015-01-18
...................\.....\vc60.idb,222208,2015-04-08
...................\.....\vc60.pdb,372736,2015-04-08
...................\ReadMe.txt,3807,2015-01-18
...................\res

...................\...\CCRP 2011301938 YSQ.rc2,411,2015-01-18

...................\resource.h,1662,2015-01-18
...................\StdAfx.cpp,221,2015-01-18
...................\StdAfx.h,1054,2015-01-18

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • EX_8
    (1) 新建一个工程demo2,设计一个类Student,其成员变量包括学号(no)、姓名(name)、性别(sex)、专业(major)、联系方式(phone)和地址(addr)。 (2) 为类Student定义成员函数writeTo,实现从给定文本文件中读取学生数据,接口如下: void writeTo (char filename[ ]) (3) 为类Student定义成员函数readFrom,实现将学生数据保存到给定文本文件,接口如下: void readFrom (char filename[ ]) ((1) Create a new project demo2, design a class Student, whose member variables, including school (no), name (name), gender (sex), specialty (major), contact details (phone) and address (addr). (2) define the Student class member function writeTo, students achieve reading data a given text file, the interface is as follows: void writeTo (char filename []) (3) define the Student class member function readFrom, students will achieve data save to text file given, the interface is as follows: void readFrom (char filename []) )
    2016-05-13 19:01:27下载
    积分:1
  • Pspice
    preisach 模型在MATLAB环境中的程序 便于计算和仿真(preisach model program in MATLAB environment to facilitate the calculation and simulation)
    2014-11-28 14:11:11下载
    积分:1
  • qdpsk
    qdpsk调制主要是帮助那些需要代码的朋友们,这些代码仅作参考,如有疑问请留言!!!谢谢(qdpsk modulation is mainly to help friends who need the code, the code is only for reference, if any questions please leave a message! ! ! Thank you)
    2010-07-01 13:02:08下载
    积分:1
  • AACEncode
    This program compress audio to AAC encoding format . It is developed by visual studio 2010. And written language C++.
    2014-01-15 09:38:26下载
    积分:1
  • Active-power-filters-A-review
    APF 资料 比较 总体比较资料 权威 IEEE 重要资料(Comparative information Comparative information APF overall authority IEEE important information)
    2014-09-07 16:59:20下载
    积分:1
  • Sat
    卫星测定轨教材《卫星轨道原理,模型和方法》的,随书光盘(Satellite orbit theory, model and method,CD ROM)
    2015-01-04 19:21:33下载
    积分:1
  • radar_chirp
    自己用编写的雷达线性调频信号产生器,信号幅度为-1~1,其中另外的一些参数可以根据需要改动(themselves with the preparation of the linear FM radar signal generator, the signal amplitude ~ 1-1, which some other parameters can be changed as needed)
    2020-09-29 10:07:44下载
    积分:1
  • 2660
    这是关于MATlab的一个PDF文档,这个文档清晰的给出了 Matlab的使用方法 (This is on the Matlab a PDF document, the document gives a clear use of Matlab)
    2008-07-31 11:24:51下载
    积分:1
  • VCandMatlab
    此电子书为VC++与Matlab混合编程的快速实现。对信号处理学习者有一定的帮助(This e-book for the VC++ and Matlab Fast implementation of hybrid programming. On signal processing to help learners have a certain)
    2010-08-05 15:51:34下载
    积分:1
  • show_SNA
    显示奇怪非混沌吸引子. 获得数据后, 用外部软件: origin等画出.(showed strange non-chaotic attractor. Access to data, the use of external software : origin such as paint.)
    2007-06-15 21:09:16下载
    积分:1
  • 696524资源总数
  • 103843会员总数
  • 49今日下载