登录
首页 » PDF » base-paper

base-paper

于 2013-12-20 发布 文件大小:1700KB
0 116
下载积分: 1 下载次数: 22

代码说明:

  This is ieee paper describes OFDM radar implementation, good for study and understanding

文件列表:

base paper.pdf,1834453,2013-12-08

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • MC-CDMA
    MC-CDMA的matlab代码 包括发送,接收及通过nakagami信道(MC-CDMA, including the matlab code to send, receive and pass the Nakagami channel)
    2020-11-23 18:09:33下载
    积分:1
  • scm
    无线信道中MIMO信道建模仿真,3gpp中MIMO信道的仿真程序,matlab仿真(Channel MIMO wireless channel modeling and simulation, 3gpp in MIMO channel emulation program, matlab simulation)
    2021-04-17 15:08:53下载
    积分:1
  • CRC16
    在Matlab环境下用于计算CRC16的代码,本原多项式为X16+X15+X2+1,并提供测试文件。(In the Matlab environment for the calculation of CRC16 code, the primitive polynomial is X16+X15+X2+1, and provide the test file.)
    2021-01-04 10:18:55下载
    积分:1
  • GPSDIY
    说明:  GPS串口通信程序,新建了一个数据库ACCESS,在显示当前GPS信息的同时可以存储以前记录过的信息,保存在数据库中,便于以后查阅。当前GPS信息包括:时间,经度,纬度,速度,真实航向以及磁偏移(GPS serial port communication program, a database of new ACCESS, show the current GPS information can be stored at the same time the information previously recorded, stored in a database for easy access later. The current GPS information including: time, longitude, latitude, speed, true course and magnetic offset)
    2009-08-06 15:19:07下载
    积分:1
  • 代码
    本人用石川法求齿轮的啮合刚度,完整版的程序,里面可以运行啮合刚度图(Meshing Stiffness of Gears Calculated by Ishikawa Method)
    2019-01-04 16:42:20下载
    积分:1
  • signal_system
    各种典型滤波器的设计与仿真,包括矩形窗、巴特莱特窗、汉宁窗、汉明窗和布莱克曼窗。(Typical filter design and simulated, including the rectangular window, Bartlett window, Hanning window, Hamming window and Blackman window.)
    2021-04-12 11:48:57下载
    积分:1
  • Localization-based-on-LS-SVR-in-WSN
    文章针对无线传感器网络(WSN)节点定位算法DV-Hop的节点间距离沽计误差对定位准确度影响较大的问题,提出一种基于LS-SVR(最小二乘支持向量回归机)的定位算法L-LSSVR. (Aiming at solving the problem of the significant influence of distance estimation error onlocation accuracy of DV-Hop in Wireless Sensor Networks (WSN), a new node localizationmethod based on LS-SVR (L-LSSVR) is proposed.)
    2012-10-12 12:36:51下载
    积分:1
  • BOC信号频谱相关特性
    MATLAB代码,产生GNSS导航信号BOC调制的相关频谱特性(The generate of BOC signal AND ITS PDF)
    2019-01-14 15:54:05下载
    积分:1
  • ofdm16qam
    实现了64qam,16qam和QPSK的误码率仿真(The bit error rate simulation of 64qam, 16QAM and QPSK is realized.)
    2020-07-01 13:20:02下载
    积分:1
  • 802.16标准
    说明:  802.16E的协议文档,完整版本。其中包括3个版本。(802.16E protocol document, full version.)
    2020-06-19 02:40:01下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载