登录
首页 » VHDL » JIAOTONGDENG

JIAOTONGDENG

于 2014-01-05 发布 文件大小:3KB
0 40
下载积分: 1 下载次数: 2

代码说明:

  用VERILOG实现 交通灯控制,且运行正确,希望有帮助(Use VERILOG implementation traffic light control, and operation right, hope to have help)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103843会员总数
  • 49今日下载