登录
首页 » VHDL » 8253

8253

于 2013-05-31 发布 文件大小:1605KB
0 69
下载积分: 1 下载次数: 32

代码说明:

  8253可编程定时器/计数器芯片 VeriLog实现(8253 programmable timer/counter chip VeriLog achieve)

文件列表:

8253
....\59000249_王耀东.rar,13723,2002-01-01
....\8253-0-0.vwf,20582,2013-04-26
....\8253-0-1.vwf,21315,2013-04-26
....\8253-0-2.vwf,21929,2013-04-26
....\8253-0-3.vwf,22158,2013-04-26
....\8253-0-4.vwf,21673,2013-04-26
....\8253-0-5.vwf,20881,2013-04-26
....\8253.asm.rpt,6996,2013-04-26
....\8253.done,26,2013-04-26
....\8253.fit.rpt,219738,2013-04-26
....\8253.fit.smsg,513,2013-04-26
....\8253.fit.summary,597,2013-04-26
....\8253.flow.rpt,5401,2013-04-26
....\8253.map.rpt,84954,2013-04-26
....\8253.map.smsg,132,2013-04-26
....\8253.map.summary,454,2013-04-26
....\8253.pin,79172,2013-04-26
....\8253.pof,2097339,2013-04-26
....\8253.qpf,905,2013-04-26
....\8253.qsf,2808,2013-04-26
....\8253.qws,90,2013-04-26
....\8253.sim.rpt,115957,2013-04-26
....\8253.sof,841090,2013-04-26
....\8253.tan.rpt,931242,2013-04-26
....\8253.tan.summary,6143,2013-04-26
....\clkdiv.v,301,2009-12-14
....\db
....\..\8253.asm.qmsg,2013,2013-04-26
....\..\8253.asm_labs.ddb,499011,2013-04-26
....\..\8253.cbx.xml,86,2013-04-26
....\..\8253.cmp.bpm,874,2013-04-26
....\..\8253.cmp.cdb,127451,2013-04-26
....\..\8253.cmp.ecobp,28,2013-04-26
....\..\8253.cmp.hdb,18093,2013-04-26
....\..\8253.cmp.logdb,4,2013-04-26
....\..\8253.cmp.rdb,72533,2013-04-26
....\..\8253.cmp.tdb,271125,2013-04-26
....\..\8253.cmp0.ddb,377024,2013-04-26
....\..\8253.cmp_bb.cdb,43154,2013-04-26
....\..\8253.cmp_bb.hdb,17773,2013-04-26
....\..\8253.cmp_bb.logdb,4,2013-04-26
....\..\8253.cmp_bb.rcf,33924,2013-04-26
....\..\8253.dbp,0,2013-04-26
....\..\8253.db_info,137,2013-04-26
....\..\8253.eco.cdb,161,2013-04-26
....\..\8253.eds_overflow,3,2013-04-26
....\..\8253.fit.qmsg,121669,2013-04-26
....\..\8253.fnsim.hdb,20370,2013-04-26
....\..\8253.fnsim.qmsg,68273,2013-04-26
....\..\8253.hier_info,5700,2013-04-26
....\..\8253.hif,1242,2013-04-26
....\..\8253.map.bpm,873,2013-04-26
....\..\8253.map.cdb,26070,2013-04-26
....\..\8253.map.ecobp,28,2013-04-26
....\..\8253.map.hdb,17476,2013-04-26
....\..\8253.map.logdb,4,2013-04-26
....\..\8253.map.qmsg,178886,2013-04-26
....\..\8253.map_bb.cdb,25927,2013-04-26
....\..\8253.map_bb.hdb,17483,2013-04-26
....\..\8253.map_bb.logdb,4,2013-04-26
....\..\8253.pre_map.cdb,28522,2013-04-26
....\..\8253.pre_map.hdb,13680,2013-04-26
....\..\8253.psp,3,2013-04-26
....\..\8253.pss,37,2013-04-26
....\..\8253.rtlv.hdb,13640,2013-04-26
....\..\8253.rtlv_sg.cdb,28459,2013-04-26
....\..\8253.rtlv_sg_swap.cdb,178,2013-04-26
....\..\8253.sgdiff.cdb,21474,2013-04-26
....\..\8253.sgdiff.hdb,15042,2013-04-26
....\..\8253.signalprobe.cdb,1102,2013-04-26
....\..\8253.sim.hdb,3318,2013-04-26
....\..\8253.sim.qmsg,4970,2013-04-26
....\..\8253.sim.rdb,12905,2013-04-26
....\..\8253.simfam,10,2013-04-26
....\..\8253.sim_ori.vwf,20881,2013-04-26
....\..\8253.sld_design_entry.sci,154,2013-04-26
....\..\8253.sld_design_entry_dsc.sci,154,2013-04-26
....\..\8253.syn_hier_info,0,2013-04-26
....\..\8253.tan.qmsg,1002946,2013-04-26
....\..\8253.tis_db_list.ddb,174,2013-04-26
....\..\mux_3nc.tdf,2494,2013-04-26
....\..\mux_umc.tdf,1843,2013-04-26
....\..\prev_cmp_8253.asm.qmsg,2013,2013-04-26
....\..\prev_cmp_8253.fit.qmsg,121669,2013-04-26
....\..\prev_cmp_8253.map.qmsg,156112,2013-04-26
....\..\prev_cmp_8253.qmsg,1305745,2013-04-26
....\..\prev_cmp_8253.sim.qmsg,2131,2013-04-26
....\..\prev_cmp_8253.tan.qmsg,1002946,2013-04-26
....\..\wed.wsf,33397,2013-04-26
....\I8253f.v,13892,2011-04-18
....\I8253_down.v,5807,2013-04-26
....\I8253_down.v.bak,5805,2011-04-18
....\segout.v,776,2009-12-14

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104045会员总数
  • 50今日下载