登录
首页 » VHDL » Booth2_final

Booth2_final

于 2015-05-08 发布 文件大小:10KB
0 38
下载积分: 1 下载次数: 5

代码说明:

  该文件是booth乘法器的verilog源代码,经过最终的仿真,可以直接运行(This file is booth multiplier verilog code, after the final simulation, can be directly run)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103816会员总数
  • 64今日下载