登录
首页 » Others » Gauss-Welding-simulation

Gauss-Welding-simulation

于 2012-12-19 发布 文件大小:14KB
0 72
下载积分: 1 下载次数: 59

代码说明:

  三维高斯热源焊接模拟,提供了包括建模,网格划分,后处理等部分。(The three-dimensional Gaussian heat source welding simulation, including modeling, meshing and post-processing part.)

文件列表:

Gauss Welding simulation .docx,17318,2012-12-05

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • OptiSystem_Tutorials
    Optisystem的各大类的示例,适于初学者学习如何建立信号系统(Examples of various classes of Optisystem which are suitable for beginners to learn how to set up signal systems)
    2018-04-07 13:19:50下载
    积分:1
  • 实现碰转的绘制,并且有流动的效果,效果很好
    实现碰转的绘制,并且有流动的效果,效果很好-Realize touch to the drawing, and has the effect of the flow, very good
    2022-07-12 02:10:35下载
    积分:1
  • 一个AB PID 例子
    一个AB PID 例子,一个AB PID 例子,一个AB PID 例子
    2021-10-10 00:31:06下载
    积分:1
  • 红色飓风E45开发板实验手册与用户手册
    红色飓风E45 FPGA开发板的实验手册与用户手册,很好的学习资料REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册目录前言实验指导手册使用说明:第一章使用介绍1概述2lSL122设计过程63建立工程设计输入5设计仿真6综7管脚分配148设计实现159程序下载.1610调试与在线逻辑分析仪的使用19第二章数字电路与数字系统实验第一部分基础实验实验一3/8译码器.25实验二十进制计数器实验36-实验三按键及拨仍开关实验实验四蜂鸣器“梁祝”乐曲演奏38实验五LLD流水灯实验.实验六数码管扫描显小-40-实验七字符型ICD显示实验43-第二部分中级实验实验八串口通信实验45实验九VGA输出八色彩条实验-49-实验十VGA显示乒乓球实验实验十一PS2显示LCD实验实验十二PS2显小串口实验56实验十三PS2鼠标显示LED实验实验十四分频器设计实验实验十五正弦函数发生器实验…实验十六AD转换实验68-第三部分高级实验实验十七IR滤波器实验|八USB输入输出实验∴…实验十九音频输入输出实验…∴84-北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第四部分存储器实验实验二|双口RAM仿真实现85实验二十一SRAM读写实验…-86实验二十二 EEPROM读写实验-89-实验二十三 SDRAM读写实验第五部分软嵌入式系统操作讲解北京威视锐科技有限公司Web(中国)(国际REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第一章使用介绍概述ISE是使用ⅩLLNX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大,对于大多数FPGA设计者米说,使用ISE就可以完成设计任务,取得满意的效果。这个实验手册针对的ⅠSE版木是12.2,ISD12.2增强了部分可重配置功能,逻辑综合平均速度提升了2倍,大型设计实施运行速度加快了1.3倍,同吋强化了嵌入式设计的方法。当然,用其他版本的ISE也能进行手册上的实验。北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册设计过程设计验证设计输入行为仿真综功能仿真设计实现「静态时序时序仿真配置下载在线调试图1.2.1ISE设计过程建立工程双击桌面上的 Xilinx ise12.2的快捷图标启动ISE集成开发环境。新建工程,如图1.3.1,1.3.2和1.33所示:北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册Enter a name locatios, and comment for the projectsmp日LI:V3FPGh1Worki:g Directory: D: V3FPGhTop-levelP比LLMlxg工nf图1.3.1Project SettinGspecify device and project propertie⊥ ow for thErice距CES瓶45Loperty Specification in Project Fiore notrdefault values olyAnalysis stV山L3三b1。 Message fi1tCancel图1.32北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册File edit y1E No single design modile4回5图1.3在新建工程时,需要注意一下几点(1)工程名,最好用英文不要有汉字,因为ISE下有些工具对于含有汉字的文件目录支持不是很好。(2)仿真工具 Modelsim-SE是第三方软件,需要另外安装。也可使用ISE自带的Isim。设计输入1.设计输入就是将一个概念设计转换为使件描述的过程。可以利川多种不同方式打开新建源代码智能向导,如图1.4.1所示:2.ISE支持多种新建文件类型,如图14.2所示:IP( coregen& Architecture Wizard)ISE软件中提供的各种IP。Schematic:原理图源代码。User document:用户文档。● Verilog Module: Verilog模块Verilog Test Fixture: erilog模块测试激励北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentN∈ FPGA Design Expert红色飓风E45实验手册● VHDL Module:VHDL模块VHDL Library:VHDL厍文件。VHDL Package:VHDL包文件。● Embedded Processor:嵌入式处理器文件等各种不同的类犁。seISE Project Navi gator (M63c)-D:v3FPGAex ample.xiseFile edit View Project Source PrTools indow LHelp百Ⅹview:图mp1 em entail M Si熊部145-3fge484新建源文件Lew SourceThe vie目 dd sourceFiles. yorAdd Copy of SourccommanManual Compile OrderTEnu anp工 mplement Top ModuleprOpRFile/Path Displ图1.4.1新建源代码方式selecrca type, file name and its lD目P地Verilog Modulverilog fes. F:FilMore lntHCancel北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册图1.4.2工程所支持的文件格式3. Verilog或VHDL源代码输入模板ISE软件提供了很多源代码模板用使用者参考设计,如图1.4.3所示:I: 13FFile edit vien prL4□百XW队a:M3m,/ Notc: CLI muot bc dcfinc回amyl彐中□ Levice fEcr I,ss begi白uk3Lnu1u拜PER-D/2sRu⊥,LBE tTon-50% Duty C由-□卫1ays9|2n中 c synthesize-XsT由2 Implant3ai8DesLanguage Tenlates EError且Br0rs四【x出1AC的11s取:图14.3源代码模板4.源代码语法检查。源代码设计完成后,在进行其他操作以前需要先对设计源代码进行语法检查,保证没有语法错误。双 Synthesize -XST下的 Check Syntax,即进行语法检查,如图1.44所示:北京威视锐科技有限公司Web(中国)(国际)
    2020-11-30下载
    积分:1
  • 《基于MATLAB/Simulink的系统仿真技术与应用》Matlab代码
    本书首先介绍TMATLAB语言程序设计的基本内容,在此基础上系统地介绍了系统仿真所必要的数值计算方法及MATLAB实现,并以Simulink为主要工具介绍了系统仿真方法与技巧,包括连续系统、离散系统、随机输入系统和复数系统的仿真,由浅入深地介绍了模块封装技术、复杂模型的线性化、多领域物理建模思想及工程系统仿真、非工程系统建模与仿真、Stateflow有限状态机及离散事件系统建模与仿真等中高级使用方法。最后还介绍了半实物仿真技术与实时控制技术。  本书可作为一般读者学习和掌握MATLAB/Simulink语言的教科书。也可作为高校理工科各类专业的本科生和研究生系统仿真类课程的教材和参考书,还可
    2020-12-07下载
    积分:1
  • BALDEMANILA_BALL_IN_A_BOX
    ball in a box VGA verilog code
    2015-01-25 13:07:37下载
    积分:1
  • dwh
    data ware housing concepts
    2010-05-31 16:58:48下载
    积分:1
  • An+Integrated+Introduction+to+Computer+Graphics+and+Geometric modeling
    Computer Graphics + Geometric modeling
    2020-11-27下载
    积分:1
  • louvain鲁文社团检测算法matlab实现
    LOUVAIN——社交网络挖掘之大规模网络的社区发现算法,matlab实现版本,输入n*n矩阵,输出划分结果及q值
    2020-11-28下载
    积分:1
  • Matlab下变频
    简单的Matlab源代码实现接收机中的混频下变频,通俗易懂,是初级学员必看必学代码,直接可以运行~
    2021-05-06下载
    积分:1
  • 696524资源总数
  • 103855会员总数
  • 91今日下载