登录
首页 » VHDL » m_xulie

m_xulie

于 2015-05-27 发布 文件大小:318KB
0 33
下载积分: 1 下载次数: 14

代码说明:

  这是用verilogHDL写的m序列发生器,简单易用,代码非常易读(It is written verilogHDL m sequence generator, easy to use, the code is very easy to read)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103930会员总数
  • 47今日下载