登录
首页 » VHDL » Read_SPI_ADC

Read_SPI_ADC

于 2015-10-13 发布 文件大小:1KB
0 61
下载积分: 1 下载次数: 3

代码说明:

  This VHDL code takes a clock, reset, Capture_EN and SPI data LT2315 ADC and generates SPI_CLK and SPI_nCS of it and reads 12-bit serial data ADC and returns 12-bit parallel data.

文件列表:

Read_SPI_ADC.vhd,4256,2015-10-13

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103988会员总数
  • 56今日下载