登录
首页 » VHDL » 扰码器Verilog

扰码器Verilog

于 2020-10-17 发布 文件大小:509KB
0 137
下载积分: 1 下载次数: 11

代码说明:

  实现扰码的功能,主要为64位在pcs子层传输的扰码器设计(To achieve the functions of scrambling code)

文件列表:

扰码器Verilog.doc, 601600 , 2018-04-01

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103801会员总数
  • 61今日下载