登录
首页 » VHDL » uart_rx

uart_rx

于 2017-07-10 发布 文件大小:1KB
0 34
下载积分: 1 下载次数: 4

代码说明:

  uart接收模块 // 波特率:9600 // 数据位:8 // 停止位:1 // 校验位:0(UART receive module Baud rate: 9600 / / / data: 8 / / stop: 1 / / check digit: 0)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104029会员总数
  • 31今日下载