登录
首页 » VHDL » verilog实现自动售货机

verilog实现自动售货机

于 2019-01-09 发布 文件大小:430KB
0 43
下载积分: 1 下载次数: 0

代码说明:

  能实现输入0.5 1 5块钱的累加,然后对应购买的商品价格进行比较,显示找的钱数或错误灯(MY English is very good)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104042会员总数
  • 18今日下载