登录
首页 » matlab » ofdm

ofdm

于 2020-11-30 发布 文件大小:3KB
0 132
下载积分: 1 下载次数: 6

代码说明:

  数据辅助定时同步算法T.Schmidl 和D.Cox,Park&Cheon和minn(Data-Assisted Timing Synchronization Algorithms T.Schmidl and D.Cox, Park&Cheon and Minn)

文件列表:

minn.m, 2078 , 2012-03-21
park.m, 2008 , 2012-03-21
sca.m, 1878 , 2012-03-21

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 配套资料源码
    说明:  通信仿真 实现了PAM调制解调 误码率计算等等功能,有助于各方面学习(Communication simulation realizes the error rate calculation of PAM modulation and demodulation and other functions, which is helpful for all aspects of learning.)
    2019-05-23 19:30:37下载
    积分:1
  • QoS-of-markov-selection-strategy
    面向QOS的马尔可夫选择决策算法,通过对算法模型合理化构建过程于异构环境特点的紧密结合,最大程度满足异构网络环境中用户QOS的长期效益(Facing the QOS markov selection decision algorithm, based on the rationalization process in constructing algorithm model heterogeneous environment characteristics of closely, satisfy heterogeneous network environment the user QOS long-term benefits )
    2011-12-26 17:38:14下载
    积分:1
  • 基于QR分解的宽度优先球形译码width_first_K-Best
    基于QR分解的宽度优先球形译码,即通常所说的K-best算法,适用于MIMO技术中的接收端信号检测。(Priority sphere decoding based on the width of the QR decomposition, commonly known as the K-best algorithm for the receiver signal detection in MIMO technology.)
    2020-07-06 15:18:58下载
    积分:1
  • llvbo
    用C语言设计数字滤波器,实现低通,带通,高通,带阻功能(Digital filter design using C language, to obtain Low pass, band pass, high pass, band-stop function)
    2016-03-02 13:37:49下载
    积分:1
  • VC_MFC-Sample1
    Microsoft Visual C++ MFC串口通信编程详解,主要介绍了用CreateFile()函数和WriteFile()函数读写串口的实例,以及设置 串口属性的实例. 在工业控制中,工控机(一般都基于 Windows 平台)经常需要与智能仪表通过串口 进行通信.串口通信方便易行,应用广泛. 一般情况下,工控机和各智能仪表通过 RS485 总线进行通信.RS485 的通信方式是 半双工的,只能由作为主节点的工控 PC 机依次轮询网络上的各智能控制单元子节点. 每次通信都是由 PC 机通过串口向智能控制单元发布命令,智能控制单元在接收到正 确的命令后作出应答. (Microsoft Visual C++ MFC serial communication programming explain CreateFile () and WriteFile () function to read and write the serial port of the instance, and set the serial port attribute instance in industrial control, IPC (generally based on the Windows platform) often need to communicate with the smart meter through the serial port serial communication easy and widely used normal circumstances, the IPC and intelligent instrument through RS485 communication RS485 communication is half-duplex, only as the primary node industrial PC turn polling network intelligent control unit child nodes. every communication from a PC via the serial port to issue commands to the intelligent control unit, intelligent control unit receives the correct command response.)
    2012-10-11 07:23:02下载
    积分:1
  • HandOverInLTE
    在做毕业设计时编写的程序,主要内容是高铁环境下LTE系统的切换算法研究,源码中含scm信道模型。(a simulation about handover in LTE under high-speed railway station environment, which involving scm channel model.)
    2013-09-10 16:16:10下载
    积分:1
  • klt
    说明:  MATLAB 子空间语音增强,输入一个wav,生成一个增强语音wav(Matlab subspace speech enhancement, input a WAV, generate an enhanced voice wav)
    2020-04-21 16:55:17下载
    积分:1
  • DOA估计
    本资源为多重信号来波方向估计算法的MATLAB实验仿真,压缩有MUSIC,PM,四阶累积量等几种方法的DOA估计算法仿真。资源整理不易,请务必珍惜。(This resource is the MATLAB simulation of multiple signal direction of arrival estimation algorithm, compression MUSIC, PM, fourth-order cumulant and other methods of DOA estimation algorithm simulation. Resource consolidation is not easy. Please treasure it.)
    2018-09-25 22:14:23下载
    积分:1
  • costas环解调
    costas环解调程序,需要的可以参考一下。costas环解调.m(It is a matlab code for demodulation as costas ring .if you are interested in it, you can refer to it.)
    2017-10-24 19:09:30下载
    积分:1
  • Aeronautical-channel-modeling
    主要讲解了航空信道模型的仿真实现和参数选择,给出了各信道场景下的接收信号星座图以及概率密度曲线。(Mainly on the aviation simulation channel model implementation and parameter selection are given scenarios each channel received signal constellation and the probability density curve.)
    2011-06-08 14:39:12下载
    积分:1
  • 696524资源总数
  • 103978会员总数
  • 64今日下载