登录
首页 » VHDL » ASKMod

ASKMod

于 2017-04-17 发布 文件大小:1KB
0 55
下载积分: 1 下载次数: 6

代码说明:

  ASK调制信号的verilog VHL设计,在ise中实现了ASK信号的调制解调。(ASK modulation signal verilog VHL design, in ise to achieve the ASK signal modulation and demodulation.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103957会员总数
  • 51今日下载