登录
首页 » VHDL » vivado_LED_Flow

vivado_LED_Flow

于 2016-04-19 发布 文件大小:628KB
0 29
下载积分: 1 下载次数: 16

代码说明:

  本例程使用vivado2014.4工具,利用xilinx Basys3 实验板实现板载流水灯的两种模式控制。(This project uses verilog HDL to realize the the control of 16 leds loaded on Xilinx Basys3 board.)

文件列表:

segV
....\segV.cache
....\..........\compile_simlib
....\..........\wt
....\..........\..\java_command_handlers.wdf,541,2016-04-08
....\..........\..\synthesis.wdf,3268,2016-03-31
....\..........\..\synthesis_details.wdf,100,2016-03-31
....\..........\..\webtalk_pa.xml,1607,2016-04-08
....\..........\..\xsim.wdf,256,2016-03-31
....\segV.hw
....\.......\hw_1
....\.......\....\hw.xml,559,2016-04-08
....\.......\....\wave
....\segV.runs
....\.........\impl_1
....\.........\......\.init_design.begin.rst,181,2016-03-31
....\.........\......\.init_design.end.rst,0,2016-03-31
....\.........\......\.opt_design.begin.rst,181,2016-03-31
....\.........\......\.opt_design.end.rst,0,2016-03-31
....\.........\......\.place_design.begin.rst,181,2016-03-31
....\.........\......\.place_design.end.rst,0,2016-03-31
....\.........\......\.route_design.begin.rst,181,2016-03-31
....\.........\......\.route_design.end.rst,0,2016-03-31
....\.........\......\.vivado.begin.rst,360,2016-03-31
....\.........\......\.vivado.end.rst,0,2016-03-31
....\.........\......\.Vivado_Implementation.queue.rst,0,2016-03-31
....\.........\......\.write_bitstream.begin.rst,182,2016-03-31
....\.........\......\.write_bitstream.end.rst,0,2016-03-31
....\.........\......\gen_run.xml,4486,2016-03-31
....\.........\......\htr.txt,383,2016-03-31
....\.........\......\init_design.pb,2533,2016-03-31
....\.........\......\ISEWrap.js,4766,2016-03-31
....\.........\......\ISEWrap.sh,1622,2016-03-31
....\.........\......\opt_design.pb,5222,2016-03-31
....\.........\......\place_design.pb,14234,2016-03-31
....\.........\......\project.wdf,1837,2016-03-31
....\.........\......\route_design.pb,6652,2016-03-31
....\.........\......\rundef.js,1330,2016-03-31
....\.........\......\runme.bat,229,2016-03-31
....\.........\......\runme.log,21778,2016-03-31
....\.........\......\runme.sh,1152,2016-03-31
....\.........\......\segFlow.bin,2192012,2016-03-31
....\.........\......\segFlow.bit,2192117,2016-03-31
....\.........\......\segFlow.tcl,1956,2016-03-31
....\.........\......\segFlow.vdi,21595,2016-03-31
....\.........\......\segFlow_10168.backup.vdi,17107,2016-03-31
....\.........\......\segFlow_10404.backup.vdi,17381,2016-03-31
....\.........\......\segFlow_5444.backup.vdi,17110,2016-03-31
....\.........\......\segFlow_9224.backup.vdi,17926,2016-03-31
....\.........\......\segFlow_clock_utilization_placed.rpt,8957,2016-03-31
....\.........\......\segFlow_control_sets_placed.rpt,3646,2016-03-31
....\.........\......\segFlow_drc_opted.rpt,1641,2016-03-31
....\.........\......\segFlow_drc_routed.pb,37,2016-03-31
....\.........\......\segFlow_drc_routed.rpt,1641,2016-03-31
....\.........\......\segFlow_io_placed.rpt,58845,2016-03-31
....\.........\......\segFlow_opt.dcp,122754,2016-03-31
....\.........\......\segFlow_placed.dcp,146684,2016-03-31
....\.........\......\segFlow_power_routed.rpt,7300,2016-03-31
....\.........\......\segFlow_power_summary_routed.pb,675,2016-03-31
....\.........\......\segFlow_routed.dcp,166859,2016-03-31
....\.........\......\segFlow_route_status.pb,44,2016-03-31
....\.........\......\segFlow_route_status.rpt,588,2016-03-31
....\.........\......\segFlow_timing_summary_routed.rpt,7553,2016-03-31
....\.........\......\segFlow_timing_summary_routed.rpx,15794,2016-03-31
....\.........\......\segFlow_utilization_placed.pb,233,2016-03-31
....\.........\......\segFlow_utilization_placed.rpt,9197,2016-03-31
....\.........\......\usage_statistics_webtalk.html,19069,2016-03-31
....\.........\......\usage_statistics_webtalk.xml,26372,2016-03-31
....\.........\......\vivado.jou,495,2016-03-31
....\.........\......\vivado.pb,129,2016-03-31
....\.........\......\vivado_10168.backup.jou,494,2016-03-31
....\.........\......\vivado_10404.backup.jou,495,2016-03-31
....\.........\......\vivado_5444.backup.jou,495,2016-03-31
....\.........\......\vivado_9224.backup.jou,494,2016-03-31
....\.........\......\write_bitstream.pb,6405,2016-03-31
....\.........\synth_1
....\.........\.......\.vivado.begin.rst,180,2016-03-31
....\.........\.......\.vivado.end.rst,0,2016-03-31
....\.........\.......\.Vivado_Synthesis.queue.rst,0,2016-03-31
....\.........\.......\gen_run.xml,1964,2016-03-31
....\.........\.......\htr.txt,366,2016-03-31
....\.........\.......\ISEWrap.js,4766,2016-03-31
....\.........\.......\ISEWrap.sh,1622,2016-03-31
....\.........\.......\project.wdf,1837,2016-03-31
....\.........\.......\rundef.js,1246,2016-03-31
....\.........\.......\runme.bat,229,2016-03-31
....\.........\.......\runme.log,23148,2016-03-31
....\.........\.......\runme.sh,1075,2016-03-31
....\.........\.......\segFlow.dcp,20624,2016-03-31
....\.........\.......\segFlow.tcl,1199,2016-03-31
....\.........\.......\segFlow.vds,22926,2016-03-31
....\.........\.......\segFlow_utilization_synth.pb,233,2016-03-31
....\.........\.......\segFlow_utilization_synth.rpt,7098,2016-03-31
....\.........\.......\vivado.jou,487,2016-03-31
....\.........\.......\vivado.pb,36422,2016-03-31
....\segV.sim
....\........\sim_1
....\........\.....\behav
....\........\.....\.....\compile.bat,274,2016-03-31
....\........\.....\.....\compile.log,729,2016-03-31

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载