登录
首页 » matlab » fougei

fougei

于 2016-05-26 发布 文件大小:7KB
0 63
下载积分: 1 下载次数: 1

代码说明:

  保证准确无误,是学习通信的好帮手,基于SVPWM的三电平逆变的matlab仿真,部分实现了追踪测速迭代松弛算法。( Ensure accurate communication is learning a good helper, Based on SVPWM three-level inverter matlab simulation, Partially achieved tracking speed iterative relaxation algorithm.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • GMM
    Gaussian Mixture Model test
    2009-10-20 15:50:20下载
    积分:1
  • bianbuchangvss-and-mvss-and-nlms
    LMS算法程序 代码等等 变步长LMS算法程序 代码等等 变步长(lms bianbuchang)
    2014-01-12 18:12:10下载
    积分:1
  • GAKMeans
    由于Kmeans聚类分析是一个局部的搜索过程,因此加入遗传算法进行全局搜索选择最优的初始中心点使得Kmeans算法产生较大的改进(Since Kmeans Cluster analysis is a local search process, so join a global search for the genetic algorithm to the optimal initial centers such Kmeans algorithm produces greater improvement)
    2016-04-22 11:13:16下载
    积分:1
  • MATLABTUTORIAL4
    matlab tutorial for basic
    2010-10-13 15:16:40下载
    积分:1
  • project
    任务具体的技术描述,如: 学号指纹生成器的核心是根据组员学号的输入,生成对应的操作符,对组长学号进行迭代操作。 4个七段码作为组员学号的输入显示,和每次运算结果的输出显示; 2个开关状态 sw[3:0]作为组员数据输入 4个按键分配 btn[1]作为读按钮,用于读入组员学号数据,btn[0]为操作控制按钮,按一下对应一次学号操作; (Description of specific technical tasks, such as: student number generator is the core of the fingerprint according to members of input student ID to generate the corresponding operator, student number on the head of the iterative operation. 4 seven-segment code number as the members learn the input display, and the output shows the results of each operation two switching state sw [3:0] as a data input four key members assigned btn [1] as read button student number for members to read the data, btn [0] for the operation control button, click the corresponding operation of a student number )
    2010-12-22 01:00:36下载
    积分:1
  • One-dimensional-linear-load-stem
    此程序代码自己编写完成的,是用无网格方法的一维线性载荷杆的问题(This program code to write his complete, is to use meshless methods of dimensional linear load of the stem a problem )
    2011-11-03 21:27:31下载
    积分:1
  • fbp
    用于三维重建的反投影滤波,X-ray,CT,SPECT等图像重建(3D reconstruction)
    2014-12-08 15:36:47下载
    积分:1
  • matlab16
    Matlab的经典入门级教材。很适合初学者入门学习使用(Matlab' s classic entry-level teaching. Very suitable for beginners learning to use Getting Started)
    2009-10-14 15:23:06下载
    积分:1
  • Mathematica-Sudoku
    用mathematica编写的数独解答小程序。用Mathematica7编写,主要分为图形绘制和数独解答两个部分。实现的功能是:可自行设计、输入数独,程序将快速得出正确答案。(Sudoku answer small program written using Mathematica. Written in Mathematica 7, mainly divided into two parts graphics rendering Sudoku answer. Achieve the function is: can design their own input Sudoku, the program will quickly arrive at the correct answer.)
    2013-04-26 20:11:23下载
    积分:1
  • DVHop
    无线传感器网络里的定位经典dvhop算法实现(Wireless sensor networks where the positioning of dvhop Algorithm)
    2009-12-15 18:16:16下载
    积分:1
  • 696524资源总数
  • 103986会员总数
  • 80今日下载