登录
首页 » C/C++ » 案例

案例

于 2020-06-21 发布 文件大小:3438KB
0 142
下载积分: 1 下载次数: 1

代码说明:

  很好的candence教程,含原理图PCB和例程,可以配合视频边学边做(Good candence tutorial, including schematic PCB and routines, can cooperate with video while learning to do)

文件列表:

案例\ARTWORK\art_param.txt, 290 , 2009-06-29
案例\ARTWORK\BOTTOM.art, 171232 , 2009-06-29
案例\ARTWORK\DRILL.art, 85919 , 2009-06-29
案例\ARTWORK\DSPsystem-1-4.drl, 13876 , 2009-06-29
案例\ARTWORK\DSPsystem.rou, 1099 , 2009-06-29
案例\ARTWORK\GND.art, 37121 , 2009-06-29
案例\ARTWORK\nc_param.txt, 675 , 2009-06-29
案例\ARTWORK\OUTLINE.art, 911 , 2009-06-29
案例\ARTWORK\PASTEMASK_BOTTOM.art, 13557 , 2009-06-29
案例\ARTWORK\PASTEMASK_TOP.art, 38753 , 2009-06-29
案例\ARTWORK\POWER.art, 60008 , 2009-06-29
案例\ARTWORK\SILKSCREEN_BOTTOM.art, 92235 , 2009-06-29
案例\ARTWORK\SILKSCREEN_TOP.art, 228213 , 2009-06-29
案例\ARTWORK\SOLDERMASK_BOTTOM.art, 14997 , 2009-06-29
案例\ARTWORK\SOLDERMASK_TOP.art, 40281 , 2009-06-29
案例\ARTWORK\TOP.art, 119453 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\cc_build_Debug.log, 918 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\DSP6713_algorithm.obj, 5524 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\DSP6713_CHIP.obj, 3655 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\DSP6713_EDMA.obj, 7858 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\dsp6713_fir_filter.map, 17131 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\dsp6713_fir_filter.out, 49185 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\DSP6713_mainProc.obj, 7936 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\DSP6713_MCBSP.obj, 3573 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\EmulatorResetTemp.wks, 7004 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug\vecs.obj, 2747 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug.lkf, 584 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\Debug.lkv, 584 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_algorithm.c, 4168 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_algorithm.h, 755 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_CHIP.c, 3112 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_CHIP.h, 751 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_const.h, 881 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_EDMA.c, 5123 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_EDMA.h, 726 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.CS_\FILE.CDX, 3072 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.CS_\FILE.DBF, 1264 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.CS_\FILE.FPT, 2035 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.CS_\SYMBOL.CDX, 304640 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.CS_\SYMBOL.DBF, 257117 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.CS_\SYMBOL.FPT, 480406 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.paf, 6655 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.paf2, 6880 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.pjt, 1132 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp6713_fir_filter.sbl, 5791 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_mainProc.c, 3903 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_MCBSP.c, 4354 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\DSP6713_MCBSP.h, 674 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\dsp67x.lib, 115552 , 2005-05-25
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\link.cmd, 973 , 2009-06-29
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\rts6700.lib, 343422 , 2003-01-08
案例\DSP6713程序C语言源代码\dsp6713_fir_filter\vecs.asm, 3416 , 2009-06-29
案例\PCB工程文件\Minisystem\ADDR_BUS.top, 17023 , 2009-05-29
案例\PCB工程文件\Minisystem\ADDR_LOW.top, 16458 , 2009-05-25
案例\PCB工程文件\Minisystem\allegro.jrl, 5826 , 2009-06-29
案例\PCB工程文件\Minisystem\allegro.jrl,1, 13846 , 2009-06-27
案例\PCB工程文件\Minisystem\art_param.txt, 290 , 2009-06-29
案例\PCB工程文件\Minisystem\art_param.txt,1, 290 , 2009-06-29
案例\PCB工程文件\Minisystem\bestsave.w, 226776 , 2009-05-27
案例\PCB工程文件\Minisystem\BOTTOM.art, 171232 , 2009-06-29
案例\PCB工程文件\Minisystem\comps.spc,1, 1061 , 2009-05-22
案例\PCB工程文件\Minisystem\comp_rlgc.inc,1, 0 , 2009-05-22
案例\PCB工程文件\Minisystem\cycle.msm, 0 , 2009-05-22
案例\PCB工程文件\Minisystem\DATA_BUS.top, 18762 , 2009-05-29
案例\PCB工程文件\Minisystem\DATA_LOW.top, 18693 , 2009-05-25
案例\PCB工程文件\Minisystem\delay.dl, 364 , 2009-05-22
案例\PCB工程文件\Minisystem\devices.dml, 12657 , 2009-05-25
案例\PCB工程文件\Minisystem\distortion.dst, 226 , 2009-05-22
案例\PCB工程文件\Minisystem\DRILL.art, 85919 , 2009-06-29
案例\PCB工程文件\Minisystem\DSPsystem-1-4.drl, 13876 , 2009-06-29
案例\PCB工程文件\Minisystem\DSPsystem.brd, 3206112 , 2009-06-29
案例\PCB工程文件\Minisystem\DSPsystem.rou, 1099 , 2009-06-29
案例\PCB工程文件\Minisystem\DSPsystem.SAV, 2743916 , 2009-06-04
案例\PCB工程文件\Minisystem\DSPsystem.xml, 61654 , 2009-06-05
案例\PCB工程文件\Minisystem\eco.txt, 54919 , 2009-06-05
案例\PCB工程文件\Minisystem\eco.txt,1, 51178 , 2009-06-04
案例\PCB工程文件\Minisystem\GND.art, 37121 , 2009-06-29
案例\PCB工程文件\Minisystem\ibis_models.inc,1, 0 , 2009-06-03
案例\PCB工程文件\Minisystem\interconn.iml, 50553 , 2009-06-03
案例\PCB工程文件\Minisystem\interconn.iml,1, 49604 , 2009-06-03
案例\PCB工程文件\Minisystem\master.tag, 15 , 2009-06-29
案例\PCB工程文件\Minisystem\monitor.sts, 6464 , 2009-05-27
案例\PCB工程文件\Minisystem\nc_param.txt, 675 , 2009-06-29
案例\PCB工程文件\Minisystem\nc_param.txt,1, 675 , 2009-06-29
案例\PCB工程文件\Minisystem\netrev.lst, 2334 , 2009-06-05
案例\PCB工程文件\Minisystem\OUTLINE.art, 911 , 2009-06-29
案例\PCB工程文件\Minisystem\PASTEMASK_BOTTOM.art, 13557 , 2009-06-29
案例\PCB工程文件\Minisystem\PASTEMASK_TOP.art, 38753 , 2009-06-29
案例\PCB工程文件\Minisystem\POWER.art, 60008 , 2009-06-29
案例\PCB工程文件\Minisystem\shape_islands.rpt, 973 , 2009-06-26
案例\PCB工程文件\Minisystem\signoise.log,1, 1556 , 2009-06-29
案例\PCB工程文件\Minisystem\signoise.run\case1\case.cfg, 473 , 2009-08-17
案例\PCB工程文件\Minisystem\signoise.run\case1\case.cfg,1, 473 , 2009-06-29
案例\PCB工程文件\Minisystem\signoise.run\case1\sigsimcntl.dat, 85 , 2009-06-29
案例\PCB工程文件\Minisystem\signoise.run\case1\sigsimres.dat, 272 , 2009-06-29
案例\PCB工程文件\Minisystem\signoise.run\case2\case.cfg, 450 , 2009-05-25
案例\PCB工程文件\Minisystem\signoise.run\case2\case.cfg,1, 87 , 2009-05-25
案例\PCB工程文件\Minisystem\signoise.run\cases.cfg, 80 , 2009-05-19
案例\PCB工程文件\Minisystem\signoise.run\cases.cfg,1, 56 , 2009-05-19
案例\PCB工程文件\Minisystem\signoise.run\signoise.cfg, 409 , 2009-05-25

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103838会员总数
  • 43今日下载