登录
首页 » VHDL » verilog

verilog

于 2016-12-12 发布 文件大小:7KB
0 63
下载积分: 1 下载次数: 25

代码说明:

  一些简单的Verilog代码,小例程,比如求平均值、七段数码管等等(Some simple Verilog code, small routines, such as averaging, seven digital tubes and so on)

文件列表:

ZDY
...\algorithm.v,2536,2016-10-27
...\average.v,1055,2016-10-27
...\define.v,645,2016-10-27
...\led_drive.v,1888,2016-10-27
...\lut.v,500,2016-10-27
...\lut_f1.v,553,2016-10-27
...\lut_f2.v,539,2016-10-27
...\lut_rp.v,553,2016-10-27
...\lut_slope.v,577,2016-10-27
...\tb_adc_drive.v,1206,2016-10-27
...\tb_algorithm.v,964,2016-10-27
...\tb_average.v,410,2016-10-27
...\tb_led_drive.v,1040,2016-10-27
...\tb_lut.v,425,2016-10-27

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103971会员总数
  • 70今日下载