登录
首页 » Verilog » AD7760_TEST

AD7760_TEST

于 2021-03-17 发布 文件大小:35202KB
0 66
下载积分: 1 下载次数: 29

代码说明:

  AD7760模数转换,使能滤波器功能,简单易懂,可进行各种配置 全功能支持,并附加使用说明(AD7760 Full Function Support with Additional Instructions)

文件列表:

AD7760_TEST.runs\impl_1\.init_design.begin.rst, 173 , 2018-09-05
AD7760_TEST.runs\impl_1\.init_design.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\.opt_design.begin.rst, 173 , 2018-09-05
AD7760_TEST.runs\impl_1\.opt_design.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\.place_design.begin.rst, 173 , 2018-09-05
AD7760_TEST.runs\impl_1\.place_design.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\.route_design.begin.rst, 173 , 2018-09-05
AD7760_TEST.runs\impl_1\.route_design.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\.vivado.begin.rst, 172 , 2018-09-05
AD7760_TEST.runs\impl_1\.vivado.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\.Vivado_Implementation.queue.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\.write_bitstream.begin.rst, 173 , 2018-09-05
AD7760_TEST.runs\impl_1\.write_bitstream.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper.bit, 4045679 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper.hwdef, 24048 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper.sysdef, 111965 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper.tcl, 5943 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper.vdi, 25811 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_clock_utilization_routed.rpt, 20245 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_control_sets_placed.rpt, 67419 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_drc_opted.rpt, 1108 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_drc_routed.pb, 37 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_drc_routed.rpt, 1193 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_io_placed.rpt, 119601 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_opt.dcp, 1270465 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_placed.dcp, 1659122 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_power_routed.rpt, 41476 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_power_routed.rpx, 2113817 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_power_summary_routed.pb, 723 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_routed.dcp, 1941557 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_route_status.pb, 44 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_route_status.rpt, 651 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_timing_summary_routed.rpt, 633602 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_timing_summary_routed.rpx, 554655 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_utilization_placed.pb, 213 , 2018-09-05
AD7760_TEST.runs\impl_1\AD7760_TEST_wrapper_utilization_placed.rpt, 10184 , 2018-09-05
AD7760_TEST.runs\impl_1\debug_nets.ltx, 9645 , 2018-09-05
AD7760_TEST.runs\impl_1\gen_run.xml, 6657 , 2018-09-05
AD7760_TEST.runs\impl_1\htr.txt, 407 , 2018-09-05
AD7760_TEST.runs\impl_1\init_design.pb, 4452 , 2018-09-05
AD7760_TEST.runs\impl_1\ISEWrap.js, 7308 , 2018-09-05
AD7760_TEST.runs\impl_1\ISEWrap.sh, 1720 , 2018-09-05
AD7760_TEST.runs\impl_1\opt_design.pb, 7894 , 2018-09-05
AD7760_TEST.runs\impl_1\place_design.pb, 16923 , 2018-09-05
AD7760_TEST.runs\impl_1\project.wdf, 4300 , 2018-09-05
AD7760_TEST.runs\impl_1\route_design.pb, 12526 , 2018-09-05
AD7760_TEST.runs\impl_1\rundef.js, 1400 , 2018-09-05
AD7760_TEST.runs\impl_1\runme.bat, 229 , 2018-09-05
AD7760_TEST.runs\impl_1\runme.log, 26047 , 2018-09-05
AD7760_TEST.runs\impl_1\runme.sh, 1248 , 2018-09-05
AD7760_TEST.runs\impl_1\usage_statistics_webtalk.html, 187853 , 2018-09-05
AD7760_TEST.runs\impl_1\usage_statistics_webtalk.xml, 321880 , 2018-09-05
AD7760_TEST.runs\impl_1\vivado.jou, 696 , 2018-09-05
AD7760_TEST.runs\impl_1\vivado.pb, 149 , 2018-09-05
AD7760_TEST.runs\impl_1\vivado_636.backup.jou, 695 , 2018-09-05
AD7760_TEST.runs\impl_1\write_bitstream.pb, 2356 , 2018-09-05
AD7760_TEST.runs\synth_1\.vivado.begin.rst, 172 , 2018-09-05
AD7760_TEST.runs\synth_1\.vivado.end.rst, 0 , 2018-09-05
AD7760_TEST.runs\synth_1\.Vivado_Synthesis.queue.rst, 0 , 2018-09-05
AD7760_TEST.runs\synth_1\.Xil\AD7760_TEST_wrapper_propImpl.xdc, 4087 , 2018-09-05
AD7760_TEST.runs\synth_1\AD7760_TEST_wrapper.dcp, 912551 , 2018-09-05
AD7760_TEST.runs\synth_1\AD7760_TEST_wrapper.tcl, 3091 , 2018-09-05
AD7760_TEST.runs\synth_1\AD7760_TEST_wrapper.vds, 1630249 , 2018-09-05
AD7760_TEST.runs\synth_1\AD7760_TEST_wrapper_utilization_synth.pb, 213 , 2018-09-05
AD7760_TEST.runs\synth_1\AD7760_TEST_wrapper_utilization_synth.rpt, 7929 , 2018-09-05
AD7760_TEST.runs\synth_1\dont_touch.xdc, 2398 , 2018-09-05
AD7760_TEST.runs\synth_1\gen_run.xml, 2515 , 2018-09-05
AD7760_TEST.runs\synth_1\htr.txt, 399 , 2018-09-05
AD7760_TEST.runs\synth_1\ISEWrap.js, 7308 , 2018-09-05
AD7760_TEST.runs\synth_1\ISEWrap.sh, 1720 , 2018-09-05
AD7760_TEST.runs\synth_1\rundef.js, 1329 , 2018-09-05
AD7760_TEST.runs\synth_1\runme.bat, 229 , 2018-09-05
AD7760_TEST.runs\synth_1\runme.log, 1645667 , 2018-09-05
AD7760_TEST.runs\synth_1\runme.sh, 1185 , 2018-09-05
AD7760_TEST.runs\synth_1\vivado.jou, 691 , 2018-09-05
AD7760_TEST.runs\synth_1\vivado.pb, 2407555 , 2018-09-05
AD7760_TEST.srcs\constrs_1\imports\AD7760_TEST\AD7760_TEST.xdc, 2416 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\AD7760_TEST.bd, 16790 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\AD7760_TEST.bxml, 3611 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\AD7760_TEST_ooc.xdc, 608 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\hdl\AD7760_TEST.hwdef, 23995 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\hdl\AD7760_TEST.v, 2813 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\hdl\AD7760_TEST_wrapper.v, 1241 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\hw_handoff\AD7760_TEST.hwh, 261887 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\hw_handoff\AD7760_TEST_bd.tcl, 11569 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_AD7760_0_0\AD7760_TEST_AD7760_0_0.xci, 7545 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_AD7760_0_0\AD7760_TEST_AD7760_0_0.xml, 30092 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_AD7760_0_0\sim\AD7760_TEST_AD7760_0_0.v, 3345 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_AD7760_0_0\synth\AD7760_TEST_AD7760_0_0.v, 3430 , 2018-09-05
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0.v, 3891 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0.xci, 79359 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0.xdc, 2657 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0.xml, 271847 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0_board.xdc, 60 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0_clk_wiz.v, 6834 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_clk_wiz_0_0\AD7760_TEST_clk_wiz_0_0_ooc.xdc, 2498 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_ila_0_0\AD7760_TEST_ila_0_0.xci, 407421 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_ila_0_0\AD7760_TEST_ila_0_0.xml, 4717419 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_ila_0_0\AD7760_TEST_ila_0_0_ooc.xdc, 2445 , 2018-09-04
AD7760_TEST.srcs\sources_1\bd\AD7760_TEST\ip\AD7760_TEST_ila_0_0\ila_v6_1\constraints\ila.xdc, 15022 , 2018-09-04

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载