登录
首页 » Verilog » PID_Verilog

PID_Verilog

于 2019-04-30 发布
0 86
下载积分: 1 下载次数: 49

代码说明:

说明:  PID算法用verilog语言实现,实测可用,由三个模块组成(The PID algorithm is implemented in Verilog language. The actual measurement is available. It consists of three modules.)

文件列表:

PID_Verilog\Integral.v, 398 , 2017-08-01
PID_Verilog\PID.v, 3291 , 2017-08-01
PID_Verilog\ProP.v, 545 , 2017-07-29
PID_Verilog, 0 , 2018-07-14

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104029会员总数
  • 31今日下载