登录
首页 » Verilog » DDS_DAC_Output

DDS_DAC_Output

于 2019-05-06 发布
0 72
下载积分: 1 下载次数: 5

代码说明:

说明:  本工程使用A7系列FPGA产生DDS,用DAC0832进行正弦电压输出(In this project, A7 series FPGA is used to generate DDS, and DAC0832 is used for sinusoidal voltage output)

文件列表:

DDS_DAC_Output, 0 , 2019-05-06
DDS_DAC_Output\project_1, 0 , 2019-05-06
DDS_DAC_Output\project_1\.Xil, 0 , 2019-05-06
DDS_DAC_Output\project_1\DDS_Init_test_behav.wcfg, 1996 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\activehdl, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\ies, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\modelsim, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\questa, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\riviera, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\vcs, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\compile_simlib\xcelium, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\ip, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851\4991b1265ba25851.xci, 16966 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851\dds_compiler_0.dcp, 103411 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851\dds_compiler_0_sim_netlist.v, 181466 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851\dds_compiler_0_sim_netlist.vhdl, 248012 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851\dds_compiler_0_stub.v, 1727 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851\dds_compiler_0_stub.vhdl, 1806 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851.logs, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\ip\2018.1\4991b1265ba25851.logs\runme.log, 31753 , 2019-05-04
DDS_DAC_Output\project_1\project_1.cache\wt, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.cache\wt\gui_handlers.wdf, 7986 , 2019-05-05
DDS_DAC_Output\project_1\project_1.cache\wt\java_command_handlers.wdf, 2598 , 2019-05-05
DDS_DAC_Output\project_1\project_1.cache\wt\project.wpc, 121 , 2019-05-05
DDS_DAC_Output\project_1\project_1.cache\wt\synthesis.wdf, 5410 , 2019-05-05
DDS_DAC_Output\project_1\project_1.cache\wt\synthesis_details.wdf, 100 , 2019-05-05
DDS_DAC_Output\project_1\project_1.cache\wt\webtalk_pa.xml, 7566 , 2019-05-05
DDS_DAC_Output\project_1\project_1.cache\wt\xsim.wdf, 256 , 2019-05-04
DDS_DAC_Output\project_1\project_1.hw, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.hw\hw_1, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.hw\hw_1\hw.xml, 796 , 2019-05-05
DDS_DAC_Output\project_1\project_1.hw\hw_1\wave, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.hw\project_1.lpr, 343 , 2019-05-05
DDS_DAC_Output\project_1\project_1.ip_user_files, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\README.txt, 130 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ip, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\ip\dds_compiler_0, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\ip\dds_compiler_0\dds_compiler_0.veo, 3445 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ip\dds_compiler_0\dds_compiler_0.vho, 3684 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ip\dds_compiler_0\dds_compiler_0_stub.v, 1702 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ip\dds_compiler_0\dds_compiler_0_stub.vhdl, 1727 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\axi_utils_v2_0_vh_rfs.vhd, 292628 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\dds_compiler_v6_0_vh_rfs.vhd, 2108597 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\mult_gen_v12_0_vh_rfs.vhd, 1310888 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\xbip_bram18k_v3_0_vh_rfs.vhd, 103702 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\xbip_dsp48_addsub_v3_0_vh_rfs.vhd, 95183 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\xbip_dsp48_multadd_v3_0_vh_rfs.vhd, 81287 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\xbip_dsp48_wrapper_v3_0_vh_rfs.vhd, 143167 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\xbip_pipe_v3_0_vh_rfs.vhd, 30625 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\ipstatic\hdl\xbip_utils_v3_0_vh_rfs.vhd, 178940 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\README.txt, 3236 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\README.txt, 2206 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\compile.do, 1960 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\dds_compiler_0.sh, 5080 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\dds_compiler_0.udo, 0 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\file_info.txt, 1102 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\simulate.do, 478 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\activehdl\wave.do, 12 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\ies, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\ies\README.txt, 2147 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\ies\dds_compiler_0.sh, 5855 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\ies\file_info.txt, 1102 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\ies\run.f, 1108 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\README.txt, 2206 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\compile.do, 2181 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\dds_compiler_0.sh, 5241 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\dds_compiler_0.udo, 0 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\file_info.txt, 1102 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\simulate.do, 478 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\modelsim\wave.do, 12 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\README.txt, 2206 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\compile.do, 2137 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\dds_compiler_0.sh, 5354 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\dds_compiler_0.udo, 0 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\elaborate.do, 350 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\file_info.txt, 1102 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\simulate.do, 205 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\questa\wave.do, 12 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\README.txt, 2206 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\compile.do, 1918 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\dds_compiler_0.sh, 5079 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\dds_compiler_0.udo, 0 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\file_info.txt, 1102 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\simulate.do, 478 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\riviera\wave.do, 12 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\vcs, 0 , 2019-05-06
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\vcs\README.txt, 2206 , 2019-05-04
DDS_DAC_Output\project_1\project_1.ip_user_files\sim_scripts\dds_compiler_0\vcs\dds_compiler_0.sh, 8105 , 2019-05-04

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104027会员总数
  • 45今日下载